Year 2024
Journals | |
---|---|
Soyed Tuhin Ahmed, M Mayahinia, M Hefenbrock, C Münch, MB Tahoori Design-Time Reference Current Generation for Robust Spintronic-Based Neuromorphic Architecture in ACM Journal on Emerging Technologies in Computing Systems 20 (JETC), 2024. | |
Soyed Tuhin Ahmed, Mehdi B. Tahoori One-Shot Online Testing of Deep Neural Networks Based on Distribution Shift Detection in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2024. |
Conferences | |
---|---|
B. Sapui, S. Meschkov and M. B. Tahoori Side-Channel Attack with Fault Analysis on Memristor-based Computation-in-Memory in IEEE 30th International Symposium on On-Line Testing and Robust System Design (IOLTS), Rennes, France, DOI, PDF, 03 - 05 July 2024. | |
F. Afentaki, M. Hefenbrock, G. Zervakis, M. B. Tahoori, Embedding Hardware Approximations in Discrete Genetic-based Training for Printed MLPs in IEEE Design Automation & Test in Europe 2024 Conference (DATE’24), Valencia, Spain, 2024. | |
Priyanjana Pal♣, Haibin Zhao♣, Maha Shatta♣, Michael Hefenbrock, Sina Bakhtavari Mamaghani, Sani Nassif, Michael Beigl, Mehdi B. Tahoori Analog Printed Spiking Neuromorphic Circuit in 27th Design, Automation and Test in Europe Conference (DATE'24), 2024. | |
Giorgos Armeniakos, Paula L Duarte, Priyanjana Pal, Georgios Zervakis, Mehdi B Tahoori, Dimitrios Soudris On-sensor Printed Machine Learning Classification via Bespoke ADC and Decision Tree Co-Design in 27th Design, Automation and Test in Europe Conference (DATE'24), 2024. | |
M. Sadeghipourrudsari, J. Krautter, M. Tahoori OTFGEncoder-HDC: Hardware-efficient Encoding Techniques for HyperdimensionalComputing in Proceedings of Design, Automation & Test in Europe (DATE), Spain, Mar 2024. | |
S. M. Ghasemi, S. Meschkov, J. Krautter, D. R. E. Gnad and M. Tahoori In-field Detection of Small Delay Defects and Runtime Degradation using On-Chip Sensors in Design, Automation and Test in Europe Conference (DATE'24), Valencia, Spain, 2024. | |
S. M. Ghasemi, J. Krautter, T. Gheshlaghi, S. Meschkov, D. R. E. Gnad and M. Tahoori Degradation Monitoring Through Software-controlled On-chip Sensors for RISC-V in IEEE European Test Symposium (ETS), Hague, Netherlands, 2024. | |
Soyed Tuhin Ahmed*, Surendra Hemaram*, Mehdi B. Tahoori Embedding Neural Network Parameters with Self Error Correcting Coding using Multi-task Learning in 42nd VLSI Test Symposium (VTS), 2024. | |
Soyed Tuhin Ahmed, K Danouchi, G Prenat, L Anghel, MB Tahoori NeuSpin: Design of a Reliable Edge Neuromorphic System Based on Spintronics for Green AI in Design, Automation and Test in Europe (DATE), 2024. | |
ST Ahmed, K Danouchi, G Prenat, L Anghel, MB Tahoori Enhancing Reliability of Neural Networks at the Edge: Inverted Normalization with Stochastic Affine Transformations in Design, Automation and Test in Europe (DATE), 2024. | |
Sina Bakhtavari Mamaghani, Priyanjana Pal, Mehdi Baradaran Tahoori A Dynamic Testing Scheme for Resistive-Based Computation-In-Memory Architectures in 29th Asia and South Pacific Design Automation Conference, ASP-DAC, 2024. |
arXiv | |
---|---|
Soyed Tuhin Ahmed, K Danouchi, G Prenat, L Anghel, MB Tahoori Concurrent Self-testing of Neural Networks Using Uncertainty Fingerprint arXiv preprint arXiv:2401.01458, 2024. | |
Soyed Tuhin Ahmed, K Danouchi, G Prenat, L Anghel, MB Tahoori Testing Spintronics Implemented Monte Carlo Dropout-Based Bayesian Neural Networks arXiv preprint arXiv:2401.04744, 2024. |
PhD-Forum | |
---|---|
Soyed Tuhin Ahmed Scalable and Efficient Methods for Uncertainty Estimation and Reduction in Deep Learning in Design, Automation and Test in Europe (DATE), 2024. |
Year 2023
Journals | |
---|---|
S. Meschkov, D. R. E. Gnad, J. Krautter and M. B. Tahoori New Approaches of Side-Channel Attacks Based on Chip Testing Methods in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (Volume 42, Issue 5), DOI, PDF, 2023. | |
Schoos, K., Meschkov, S., Tahoori, M. B., & Gnad, D. R. E. JitSCA: Jitter-based Side-Channel Analysis in Picoscale Resolution in IACR Transactions on Cryptographic Hardware and Embedded Systems (Volume 2023, Issue 3), DOI, PDF, 2023. | |
Soyed Tuhin Ahmed and Mehdi B. Tahoori Fault-tolerant Neuromorphic Computing with Memristors Using Functional ATPG for Efficient Re-calibration in IEEE Design & Test, 2023. [Revised Publication for Top Picks from the 40th IEEE VTS 2022] | |
Vincent Meyers, Dennis Gnad, Mehdi Tahoori Active and Passive Physical Attacks on Neural Network Accelerators in IEEE Design & Test, 2023. | |
Ahmed, Soyed Tuhin; Danouchi, Kamal; Hefenbrock, Michael; Prenat, Guillaume; Anghel, Lorena; Tahoori, Mehdi B. Algorithm-Hardware Co-Design for Uncertainty Estimation on Spintronic-Based Architectures in ACM Transactions on Embedded Computing System (EsWeek Special Issue), 2023. | |
Soyed Tuhin Ahmed , Kamal Danouchi, Christopher Münch, Guillaume Prenat, Lorena Anghel, Mehdi B. Tahoori SpinDrop: Dropout-Based Bayesian Binary Neural Networks with spintronic Implementation in IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 2023. |
Conferences | |
---|---|
Haibin Zhao♣; Priyanjana Pal♣, Michael Hefenbrock, Michael Beigl, Mehdi B Tahoori Towards Temporal Information Processing – Printed Neuromorphic Circuits with Learnable Filters in 18th ACM International Symposium on Nanoscale Architectures (NANOARCH 2023), Dresden, Deutschland, 2023. | |
Haibin Zhao♣; Priyanjana Pal♣; Michael Hefenbrock; Michael Beigl; Mehdi B. Tahoori Power-Aware Training for Energy-Efficient Printed Neuromorphic Circuits in IEEE/ACM International Conference on Computer Aided Design (ICCAD), 2023. | |
N. Muller, S. Meschkov, D. R. E. Gnad, M. B. Tahoori and A. Moradi Automated Masking of FPGA-Mapped Designs in 33rd International Conference on Field-Programmable Logic and Applications (FPL), Gothenburg, Sweden, DOI, PDF, 04 - 08 Sept 2023. | |
J. Krautter, P. Genssler, G. Sepanta, H. Amrouch, M. Tahoori Stress-resiliency of AI implementations on FPGAs in 33rd International Conference on Field-Programmable Logic and Applications (FPL), 2023. | |
M. Mayahinia, M. Tahoori, G. Tshagharyan, G. Harutyunyan, Y. Zorian On-chip Electromigration Sensor for Silicon Lifecycle Management of Nanoscale VLS in Design, Automation and Test in Europe (DATE), Belgium, 2023. | |
M. Mayahinia, H. Liu, S. Mishra, Z. Tokei, F. Catthoor, M. Tahoori Electromigration-aware design technology co-optimization for SRAM in advanced technology nodes in Design, Automation and Test in Europe (DATE), Belgium, 2023. | |
M. Gross, J. Krautter, D. Gnad, M. Gruber, G. Sigl, M. Tahoori FPGANeedle: Precise Remote Fault Attacks from FPGA to CPU in 28th Asia and South Pacific Design Automation Conference (ASP-DAC), 2023. | |
F. Afentaki, G. Saglam, A. Kokkinis, K. Siozios, G. Zervakis and M. B. Tahoori Bespoke Approximation of Multiplication-Accumulation and Activation Targeting Printed Multilayer Perceptrons in IEEE/ACM International Conference on Computer Aided Design (ICCAD), San Francisco, CA, USA, 2023. | |
S. M. Ghasemi, S. Meschkov, J. Krautter, D. R. E. Gnad and M. Tahoori Enabling In-field Parametric Testing for RISC-V Cores in International Test Conference (ITC), Anaheim, USA, 2023. | |
S. M. Ghasemi, S. Meschkov, J. Krautter, D. R. E. Gnad and M. Tahoori SLM ISA and Hardware Extensions for RISC-V Processors in IEEE International Symposium on On-Line Testing and Robust System Design (IOLTS), Crete, Greece, 2023. | |
Sapui, B., Krautter, J., Mayahinia, M., Jafari, A., Gnad D., Meschkov, S., Tahoori, M. B. Power Side-Channel Attacks and Countermeasures on Computation-in-Memory Architectures and Technologies in /2023 IEEE European Test Symposium (ETS)/, 2023. (Best paper nomination) | |
Zhao, H., Sapui, B., Hefenbrock, M., Yang, Z., Beigl, M., & Tahoori, M. B. Highly-Bespoke Robust Printed Neuromorphic Circuits in /2023 Design, Automation and Test in Europe Conference & Exhibition (DATE)/, 2023. | |
Soyed Tuhin Ahmed, Roman Rakhmatullin, Mehdi B. Tahoori Online Fault-Tolerance for Memristive Neuromorphic Fabric Based on Local Approximation in 28th IEEE European Test Symposium, 2023. | |
Soyed Tuhin Ahmed, Kamal Danouchi, Michael Hefenbrock, Guillaume Prenat, Lorena Anghel, Mehdi B. Tahoori Scalable Spintronics-based Bayesian Neural Network for Uncertainty Estimation in Design, Automation and Test in Europe Conference, 2023. |
arXiv | |
---|---|
Ahmed, Soyed Tuhin, Mehdi B. One-Shot Online Testing of Deep Neural Networks Based on Distribution Shift Detection arXiv preprint arXiv:2305.09348, 2023. | |
Ahmed, Soyed Tuhin; Danouchi, Kamal; Hefenbrock, Michael; Prenat, Guillaume; Anghel, Lorena; Tahoori, Mehdi B. Spatial-SpinDrop: Spatial Dropout-based Binary Bayesian Neural Network with Spintronics Implementation arXiv preprint arXiv:2306.10185, 2023. |
PhD-Forum | |
---|---|
Soyed Tuhin Ahmed Reliable Memristive Neuromorphic In-Memory Computing: An Algorithm-Hardware Co-Design Approach in Design, Automation and Test in Europe Conference, 2023. |
Year 2022
Journals | |
---|---|
A. T. Erozan, M. Hefenbrock, D. Gnad, M. Beigl, J. Aghassi-Hagmann, M. Tahoori Counterfeit Detection and Prevention in Additive Manufacturing based on Unique Identification of Optical Fingerprints of Printed Structures in IEEE Access, 2022. | |
Soyed Tuhin Ahmed, Michael Hefenbrock, Christopher Münch, Mehdi B. Tahoori NeuroScrub+: Mitigating Retention Faults Using Flexible Approximate Scrubbing in Neuromorphic Fabric Based on Resistive Memories in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2022. | |
J. Krautter, D. R. E. Gnad, M. B. Tahoori Remote Fault Attacks in Multi-Tenant Cloud FPGAs in IEEE Design & Test, 2022. (Revised Publication for Top Picks in Hardware Security 2020) | |
M. Mayahinia, M. Tahoori, M.P. Komalan, H. Zahedmanesh, K. Croes, T. Marinelli, J.I. Gómez Pérez, T. Evenblij, G. Sankar Kar, F. Catthoor Time-dependent electromigration modeling for workload-aware design space exploration in STT-MRAM in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2022. | |
M. Mayahinia, A. Singh, C. Bengel, S. Wiefels, M.A. Lebdeh, S. Menzel, D.k.J. Wouters, A. Gebregiorgis, R. Bishnoi, R. Joshi, S. Hamdioui A Voltage-Controlled Oscillation-Based ADC Design for Computation-in-Memory Architectures Using Emerging ReRAMs in ACM Journal on Emerging Technologies in Computing Systems (JETC), 2022. |
Conferences | |
---|---|
M. Mayahinia, M. Tahoori, G. Harutyunyan, G. Tshagharyan, K. Amirkhanyan Analyzing the Electromigration Challenges of Computation in Resistive Memories in International Test Conference (ITC), 2022. | |
M. Mayahinia, M. Tahoori, M. Perumkunnil, K. Croes, F. Catthoor Analyzing the Electromigration Challenges of Computation in Resistive Memories in International Test Conference (ITC), 2022. | |
Soyed Tuhin Ahmed, Kamal Danouchi, Christopher Münch, Guillaume Prenat, Lorena Anghel, Mehdi B Tahoori Binary Bayesian Neural Networks for Efficient Uncertainty Estimation Leveraging Inherent Stochasticity of Spintronic Devices IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH), 2022. | |
D. Gnad, J. Hu, M. Tahoori Breaking an FPGA-integrated NIST SP 800-193 compliant TRNG Hard-IP core with on-chip voltage-based fault attacks in International Conference on Field Programmable Logic and Applications (FPL), United Kingdom, 2022. | |
M. Fieback, C. Münch, A. Grebegiorgis, G. Cardoso Medeiros, M. Taouil, S. Hamdioui, M. Tahoori PVT Analysis for RRAM and STT-MRAM-based Logic Computation-in-Memory in Proceedings of the European Test Symposium (ETS), 2022. (Best Paper Candidate) | |
J. Krautter, M. Mayahinia, D. R. E. Gnad, M. B. Tahoori Data Leakage through Self-Terminated Write Schemes in Memristive Caches in 27th Asia and South Pacific Design Automation Conference (ASP-DAC), 2022. | |
M. Mayahinia, A. Jafari, M. Tahoori Voltage Tuning for Reliable computation in Emerging Resistive Memories in 40th VLSI Test Symposium (VTS), 2022. | |
C. Münch, J. Yun, M. Keim, M. B. Tahoori MBIST-based Trim-Search Test Time Reduction for STT-MRAM in proceedings of VLSI Test Symposium (VTS), 2022, USA, 2022. | |
A. Gebregiorgis, L. Wu, C. Münch, S. Rao, M. B. Tahoori, S.Hamdioui STT-MRAMs: Technology, Design and Test in proceedings of VLSI Test Symposium (VTS), 2022, USA, 2022. (Invited Paper) | |
Vincent Meyers, Dennis Gnad, Mehdi Tahoori Reverse Engineering Neural Network Folding with Remote FPGA Power Analysis in IEEE 30th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), 2022. | |
Soyed Tuhin Ahmed, Mehdi B. Tahoori Compact Functional Test Generation for Memristive Deep Learning Implementations using Approximate Gradient Ranking IEEE International Test Conference (ITC), 2022. | |
Soyed Tuhin Ahmed, Mahta Mayahinia, Michael Hefenbrock, Christopher Münch, and Mehdi B. Tahoori Process and Runtime Variation Robustness for Spintronic-Based Neuromorphic Fabric IEEE European Test Symposium (ETS), 2022. | |
Soyed Tuhin Ahmed, Mehdi B. Tahoori Fault-tolerant Neuromorphic Computing with Functional ATPG for Post-manufacturing Re-calibration 40th VLSI Test Symposium (VTS), 2022. |
Other | |
---|---|
Ahmed, Soyed Tuhin; Danouchi, Kamal; Münch, Christopher; Prenat, Guillaume; Anghel, Lorena; Tahoori, Mehdi B. SpinDrop: Dropout-Based Bayesian Binary Neural Networks with spintronic Implementation TechRxiv, DOI, 2022. |
Year 2021
Journals | |
---|---|
D. D. Weller, M. Hefenbrock, Michael Beigl, and Mehdi B. Tahoori Fast and Efficient High-Sigma Yield Analysis and Optimization using Kernel Density Estimation on a Bayesian Optimized Failure Rate Model in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2021. | |
D. D. Weller, M. Hefenbrock, M. Beigl, J. Aghassi-Hagmann, and M. B. Tahoori Realization and training of an inverter-based printed neuromorphic computing system n Nature Scientific Reports, 2021. | |
Farhan Rasheed, Manuel Rommel, Gabriel Cadilha Marques, Wolfgang Wenzel, Mehdi B Tahoori, Jasmin Aghassi-Hagmann Channel Geometry Scaling Effect in Printed Inorganic Electrolyte-Gated Transistors IEEE Transactions on Electron Devices 68 (4), 1866-1871, 2021. | |
F Schellenberg, DRE Gnad, A Moradi, MB Tahoori An inside job: Remote power analysis attacks on FPGAs IEEE Design and Test, 2021. | |
Xiaowei Feng, Surya Abhishek Singaraju, Hongrong Hu, Gabriel Cadilha Marques, Tongtong Fu, Peter Baumgartner, Daniel Secker, Mehdi B Tahoori, Jasmin Aghassi-Hagmann Low-Frequency Noise Characteristics of Inkjet-Printed Electrolyte-Gated Thin-Film Transistors IEEE Electron Device Letters 42 (6), 843-846, 2021. | |
S. Nair, M. Mayahinia, M. B Tahoori, M. Perumkunnil, H. Zahedmanesh, K. Croes, K. Garello, T. Marinelli, T. Evenblij, G. Sankar Kar, F. Catthoor Workload-aware Electromigration Analysis in Emerging Spintronic Memory Arrays IEEE Transactions on Device and Materials Reliability (TDMR), 2021. | |
D. RE. Gnad, C. D. K. Nguyen, S. H. Gillani, M. B. Tahoori Voltage-Based Covert Channels Using FPGAs ACM Transactions on Design Automation of Electronic Systems (TODAES), 2021. |
Conferences | |
---|---|
D. D. Weller, Nathaniel Bleier, Michael Hefenbrock, Jasmin Aghassi-Hagmann, Michael Beigl, Rakesh Kumar and Mehdi Tahoori Printed Stochastic Computing Neural Networks in proceedings of Design, Automation & Test in Europe (DATE), 2021. | |
J. Krautter, D. R. E. Gnad, M. B. Tahoori Remote and Stealthy Fault Attacks on Virtualized FPGAs in Proceedings of Design, Automation & Test in Europe (DATE), 2021. | |
D. R. E. Gnad, V. Meyers, N. M. Dang, F. Schellenberg, A. Moradi, M. B. Tahoori Stealthy Logic Misuse for Power Analysis Attacks in Multi-Tenant FPGAs in Proceedings of Design, Automation & Test in Europe (DATE), 2021. Invited Paper | |
C. Münch, M. Tahoori Testing Resistive Memory Based Neuromorphic Architectures Using Reference Trimming in Proceedings of Design, Automation & Test in Europe (DATE), 2021. | |
C. Münch, J. Yun, M. Keim, M. Tahoori MBIST–supported Trim Adjustment to Compensate Thermal Behavior of MRAM in Proceedings of the European Test Symposium (ETS), 2021. Best Paper Candidate | |
S. T. Ahmed, M. Hefenbrock, C. Münch, M. Tahoori NeuroScrub: Mitigating Retention Failures Using Approximate Scrubbing in Neuromorphic Fabric Based on Resistive Memories in Proceedings of the European Test Symposium (ETS), 2021. | |
H. Nassar, H. AlZughbi, D. R. E. Gnad, L. Bauer, M. B. Tahoori, J. Henkel LoopBreaker: Disabling Interconnects to Mitigate Voltage-Based Attacks in Multi-Tenant FPGAs in Proceedings of the International Conference on Computer-Aided Design (ICCAD), 2021. | |
S. Meschkov, D. R. E. Gnad, J. Krautter, M. B. Tahoori Is your secure test infrastructure secure enough? in IEEE International Test Conference (ITC), 2021. | |
M.Mayahinia, C. Münch, and Mehdi B. Tahoori Analyzing and Mitigating Sensing Failures in Spintronic-based Computing in Memory in IEEE International Test Conference (ITC), 2021. | |
J. Krautter, M. B. Tahoori Neural Networks as a Side-Channel Countermeasure: Challenges and Opportunities IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2021. (Invited Paper) |
Year 2020
Journals | |
---|---|
D. D. Weller, M. Hefenbrock, Mohammad S. Golanbari, Michael Beigl, Jasmin Aghassi-Hagmann, and Mehdi B. Tahoori Bayesian Optimized Mixture Importance Sampling for High-Sigma Failure Rate Estimation in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2020. | |
D. R. E. Gnad, F. Schellenberg, J. Krautter, A. Moradi and M. B. Tahoori Remote Electrical-level Security Threats to Multi-Tenant FPGAs, in IEEE Design & Test, 2020. | |
A Scholz, L Zimmermann, A Sikora, MB Tahoori, J Aghassi-Hagmann Embedded Analog Physical Unclonable Function System to Extract Reliable and Unique Security Keys in Applied Sciences 10 (3), 759, 2020. | |
GC Marques, AM Sukuramsyah, AA Rus, S Bolat, A Aribia, X Feng, S. Abhishek Singaraju, E. Ramon, Y. Romanyuk, M. Tahoori, J. Aghassi-Hagmann Fabrication and Modeling of pn-Diodes Based on Inkjet Printed Oxide Semiconductors EEE Electron Device Letters 41 (1), 187-190, 2020. | |
A. T. Erozan, G.Y. Wang, R. Bishnoi, J. Aghassi-Hagmann, M. B. Tahoori A Compact Low-Voltage True Random Number Generator based on Inkjet Printing Technology in IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2020. | |
A. T. Erozan, D. D. Weller, F. Rasheed, R. Bishnoi, J. Aghassi-Hagmann, M. B. Tahoori A Novel Printed Look-up Table based Programmable Printed Digital Circuit in IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2020. | |
J. Krautter, D. Gnad, M. Tahoori CPAmap: On the Complexity of Secure FPGA Virtualization, Multi-Tenancy, and Physical Design in IACR Transactions on Cryptographic Hardware and Embedded Systems (TCHES), 2020. | |
A.Vijayan, M. Tahoori, K. Chakrabarty Runtime Identification of Hardware Trojans by Feature Analysis on Gate-level Unstructured Data and Anomaly Detection in ACM Transaction on Design Automation of Electronic Systems (TODAES), 2020. | |
V. Ulianova, F. Rasheed, S. Bolat, G. T. Sevilla, Y. Didenko, X. Feng, I. Shorubalko, D. Bachmann, D. Tatarchuk, M. B. Tahoori, J. Aghassi-Hagmann, Y. E. Romanyuk Fabrication, Characterization and Simulation of Sputtered Pt/In-Ga-Zn-O Schottky Diodes for Low-Frequency Half-Wave Rectifier Circuits in IEEE Access, 2020. | |
F. Neuper, G. C. Marques, S. A. Singaraju, R. Kruk, J. Aghassi-Hagmann, H. Hahn, and B. Breitung ALD-Derived, Low-Density Alumina as Solid Electrolyte in Printed Low-Voltage FETs IEEE Transactions on Electron Devices (TED), 2020. | |
G. C. Marques, A. Birla, A. Arnal, S. Dehm, E. Ramon, M. B. Tahoori, and J. Aghassi-Hagmann Printed Logic Gates Based on Enhancement- and Depletion-Mode Electrolyte-Gated Transistors IEEE Transactions on Electron Devices (TED), vol. 67, no. 8, 2020. | |
S. A. Singaraju, G. C. Marques, P. Gruber, R. Kruk, H. Hahn, B. Breitung, and J. Aghassi-Hagmann Fully Printed Inverters using Metal‐Oxide Semiconductor and Graphene Passives on Flexible Substrates Physica Status Solidi – Rapid Research Letters (RRL), 2000252, 2020. | |
A. T. Erozan, D. Weller, G., Y. Feng, C. Marques, J. Aghassi-Hagmann, M. B. Tahoori A Printed Camouflaged Cell against Reverse Engineering of Printed Electronics Circuits in IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2020. | |
X. Feng, A. Scholz, J. Aghassi-Hagmann, M. Tahoori An Inkjet-printed Full-wave Rectifier for Low Voltage Operation using Electrolyte-gated Indium-Oxide Thin Film Transistors IEEE Transactions on Electron Devices (TED), 2020. | |
N. Sayed, R. Bishnoi, M. Tahoori Approximate Spintronic Memories ACM Journal of Emerging Technologies for Computing (JETC), 2020. | |
W Kang, Y Zhang, W Zhao, M Tahoori, JS Friedman Guest Editorial: SPIN Special Section on Spintronics for In-Memory Processing SPIN, 10(2), 2020. | |
Y Li, X Cheng, C Tan, J Han, Y Zhao, L Wang, T Li, MB Tahoori, X Zeng A Robust Hardened Latch Featuring Tolerance to Double-Node-Upset in 28nm CMOS for Spaceborne Application IEEE Transactions on Circuits and Systems II: Express Briefs 67 (9), 1619-1623, 2020. | |
C Münch, N Sayed, R Bishnoi, M Tahoori A Novel Oscillation-based Reconfigurable In-Memory Computing Scheme with Error-Correction IEEE Transactions on Magnetics,, 2020. | |
P Girard, Y Cheng, A Virazel, W Zhao, R Bishnoi, MB Tahoori A Survey of Test and Reliability Solutions for Magnetic Random Access Memories Proceedings of the IEEE, 2020. | |
M Tahoori, MS Golanbari Cross-Layer Reliability, Energy Efficiency, and Performance Optimization of Near-Threshold Data Paths Journal of Low Power Electronics and Applications 10 (4), 42, 2020. | |
A Gebregirogis, M Tahoori Approximate Learning and Fault-Tolerant Mapping for Energy-Efficient Neuromorphic Systems ACM Transactions on Design Automation of Electronic Systems (TODAES) 26 (3), 2020. | |
A. Scholz , L. Zimmermann , U. Gengenbach , L. Koker , Z. Chen , H. Hahn , A. Sikora , M Tahoori, J. Aghassi-Hagmann Hybrid low-voltage physical unclonable function based on inkjet-printed metal-oxide transistors Nature Communications, 2020. | |
N. Sayed, M. Tahoori Dynamic Behavior Predictions for Fast and Efficient Hybrid STT-MRAM Caches ACM Journal of Emerging Technologies for Computing (JETC), 2020. |
Conferences | |
---|---|
S. Mohanachandran Nair, R. Bishnoi, M.B. Tahoori, H. Zahedmanesh, K. Croes, K. Garello, G. Sankar Kar, and F. Catthoor Physics based modeling of bimodal electromigration failure distributions and variation analysis for VLSI interconnects in International Reliability Physics Symposium (IRPS), 2020, USA, 2020. | |
S. Mohanachandran Nair, R. Bishnoi, and M.B. Tahoori Mitigating Read Failures in STT-MRAM in proceedings of VLSI Test Symposium (VTS), USA, 2020. Best Paper Candidate | |
Rajendra Bishnoi, Lizhou Wu, Moritz Fieback, Christopher Münch, Sarath Mohanachandran Nair, Mehdi Tahoori, Ying Wang, Huawei Li and Said Hamdioui Special Session - Emerging Memristor Based Memory and CIM Architecture: Test, Repair and Yield Analysis in proceedings of VLSI Test Symposium (VTS), USA, 2020. Invited Paper | |
M.B. Tahoori, S. Mohanachandran Nair, R. Bishnoi, L. Torres, G. Partigeon, G. DiPendina, and G. Prenat A Universal Spintronic Technology Based on Multifunctional Standardized Stack in proceedings of Design, Automation & Test in Europe (DATE), France, 2020. | |
S. Mohanachandran Nair, R. Bishnoi, A. Vijayan, and M.B. Tahoori Dynamic Faults based Hardware Trojan Design in STT-MRAM in proceedings of Design, Automation & Test in Europe (DATE), France, 2020. | |
M. Hefenbrock, D. D. Weller, M. Beigl and M.B. Tahoori Fast and Accurate High-Sigma Failure Rate Estimation through Extended Bayesian Optimized Importance Sampling in proceedings of Design, Automation & Test in Europe (DATE), France, 2020. | |
D. D. Weller, M. Hefenbrock, M.B. Tahoori, J. Aghassi-Hagmann, and M. Beigl Programmable Neuromorphic Circuit based on Printed Electrolyte-Gated Transistors in Proceedings of the Asia and South Pacific Design Automation Conference (ASPDAC) China, 2020. | |
C. Münch, R. Bishnoi, and M.B. Tahoori Tolerating Retention Failures in Neuromorphic Fabric based on Emerging Resistive Memories Asia and South Pacific Design Automation Conference (ASP-DAC), 2020, China, 2020. Invited paper | |
S. Mohanachandran Nair, C. Münch, and M. B. Tahoori Defect Characterization and Test Generation for Spintronic-based Compute-In-Memory in Proceedings of the European Test Symposium (ETS), Estonia, 2020. Best Paper Candidate | |
M. Fieback, S. Nagarajan, R. Bishnoi, M. Tahoori, M. Taouil, S. Hamdioui Testing Scouting Logic-Based Computation-in-Memory Architectures in Proceedings of the European Test Symposium (ETS), Estonia, 2020. Best Paper Candidate | |
N. Bleier, M. H. Mubarik, F. Rasheed, J. Aghassi-Hagmann, M. B. Tahoori, and R. Kumar Printed microprocessors In Proceedings of the 47th Annual International Symposium on Computer Architecture (ISCA), 2020. | |
Yan Li, Xiaoyoung Zeng, Zhengqi Gao, Liyu Lin, Jun Tao, Jun Han, Xu Cheng, Mehdi B. Tahoori, Xiaoyang Zeng Exploring a Bayesian Optimization Framework Compatible with Digital Standard Flow for Soft-Error-Tolerant Circuit in Design Automation Conference (DAC), 2020. | |
M. H. Mubarik, D. D. Weller, N. Bleier, M. Tomei, J. Aghassi-Hagmann, M. B. Tahoori, R. Kumar Printed Machine Learning Classifiers in Proceedings of the 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), 2020. Honorable Mention, Top Picks in Computer Architecture 2020 | |
C. Münch and M. B. Tahoori Defect Characterization of Spintronic-based Neuromorphic Circuits International Symposium on On-Line Testing and Robust System Design (IOLTS), Italy, 2020. Invited paper |
Books / Book Chapter | |
---|---|
Baby, T.T., Marques, G.C., Neuper, F., Singaraju, S.A., Garlapati, S., von Seggern, F., Kruk, R., Dasgupta, S., Sykora, B., Breitung, B. and Sukkurji, P.A. Printing technologies for integration of electronic devices and sensors In Functional Nanostructures and Sensors for CBRN Defence and Environmental Safety and Security (pp. 1-34). Springer, Dordrecht, 2020. |
PhD Thesis | |
---|---|
D. R. E. Gnad Remote Attacks on FPGA Hardware Doktorarbeit, Karlsruher Institut für Technologie (KIT), DOI, PDF, 2020. |
Year 2019
Journals | |
---|---|
Golanbari, S. Kiamehr, F. Oboril, A. Gebregiorgis, M.B. Tahoori Achieving Energy Efficiency for Near-Threshold Circuits Through Postfabrication Calibration and Adaptation IEEE Transactions on Very Large Scale Integration (VLSI) Systems (TVLSI), 2019. | |
F. Rasheed, M. Hefenbrock, R. Bishnoi, M. Beigl, J. Aghassi-Hagmann, and M. B Tahoori Crossover-aware placement and routing for inkjet printed circuits Journal on Emerging Technologies in Computing Systems, 2019. | |
J. Jeong, G. C. Marques, X. Feng, D. Boll, S. A. Singaraju, J. Aghassi-Hagmann, H. Horst, B. Breitung Ink-Jet Printable, Self-Assembled, and Chemically Crosslinked Ion-Gel as Electrolyte for Thin Film, Printable Transistors in Advanced Materials Interfaces, 2019. | |
X. Feng, C. Punckt , G. C. Marques, M. Hefenbrock, M. B. Tahoori, and J. Aghassi-Hagmann Non-Quasi-Static Capacitance Modeling and Characterization for Printed Inorganic Electrolyte-gated Transistors in Logic Gates in IEEE Transactions on Electron Devices (TED), 2019. | |
Yuan-Hao Chang, Jingtong Hu, Mehdi B. Tahoori, Ronald F. DeMara Guest Editorial: IEEE Transactions on Computers Special Section on Emerging Non-Volatile Memory Technologies: From Devices to Architectures and Systems IEEE Trans. Computers 68(8): 1111-1113, 2019. | |
L. Zimmermann, A. Scholz, M. B. Tahoori, J. Aghassi-Hagmann, A. Sikora Design and Evaluation of a Printed Analog-Based Differential Physical Unclonable Function in IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2019. | |
R. ElNaggar, K. Chakrabarty and M. B. Tahoori Hardware trojan detection using changepoint-based anomaly detection techniques in IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2019. | |
S. Ben Dodo, R. Bishnoi, M. B. Tahoori Secure STT-MRAM Bit-cell Design Resilient to Differential Power Analysis Attacks in IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2019. | |
S. Ben Dodo, R. Bishnoi, S. Mohanachandran Nair, M. B. Tahoori A Novel Spintronics Memory PUF for Resilience Against Cloning Counterfeit in IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2019. | |
X. Feng, G.C. Marques, F. Rasheed, M. B. Tahoori, and J. Aghassi-Hagmann Impact of intrinsic capacitances on the dynamic performance of printed electrolyte-gated inorganic field effect transistors in IEEE Transactions on Electron Devices (TED), 2019. | |
A. T. Erozan, M. Hefenbrock, M. Beigl, J. Aghassi-Hagmann, and M. B. Tahoori Reverse Engineering of Printed Electronics Circuits: From Imaging to Netlist Extraction in IEEE Transactions on Information Forensics & Security (TIFS), 2019. | |
M.S. Golanbari, S. Kiamehr, M. Ebrahimi, M. B. Tahoori Selective Flip-Flop Optimization for Reliable Digital Circuit Design in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2019. | |
J. Krautter, D. R. E. Gnad, M. B. Tahoori Mitigating Electrical-Level Attacks towards Secure Multi-Tenant FPGAs in the Cloud in ACM Transactions on Reconfigurable Technology and Systems (TRETS), 2019. | |
D. R. E. Gnad, J. Krautter, M. B. Tahoori Leaky Noise: New Side-Channel Attack Vectors in Mixed-Signal IoT Devices in IACR Transactions on Cryptographic Hardware and Embedded Systems (TCHES), 2019. | |
G. C. Marques, F. von Seggern, S. Dehm, B. Breitung, H. Hahn, S. Dasgupta, M. B. Tahoori, and J. Aghassi-Hagmann Influence of Humidity on the Performance of Composite Polymer Electrolyte-Gated Field-Effect Transistors and Circuits IEEE Transactions on Electron Devices (TED), 2019. | |
G.C. Marques, D.Weller, A. T. Erozan, X. Feng, M. Tahoori, and J. Aghassi-Hagmann Progress Report on ‘From printed electrolyte-gated metal-oxide devices to circuits Advanced Materials, 2019. | |
M. Kishani, M.B. Tahoori, and H. Asadi Dependability Analysis of Data Storage Systemsin Presence of Soft Errors in IEEE Transactions on Reliability, 2019. | |
S. Mohanachandran Nair, R. Bishnoi, M. B. Tahoori A Comprehensive Framework for Parametric Failure Modeling and Yield Analysis of STT-MRAM in IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2019. | |
N. Sayed, R. Bishnoi, and M.B. Tahoori Fast and Reliable STT-MRAM Using Non-uniform and Adaptive Error Detecting and Correcting Scheme in IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2019. | |
N. Sayed, L. Mao, R. Bishnoi and M. B. Tahoori Compiler-Assisted and Profiling-Based Analysis for Fast and Efficient STT-MRAM On-Chip Cache Design in ACM Transaction on Design Automation of Electronic Systems (TODAES), 2019. | |
A. Gebregiorgis, and M.B. Tahoori Testing of Neuromorphic Circuits: Structural Vs Functional In Proceedings of International Test Conference (ITC), USA, 2019. |
Conferences | |
---|---|
S. Mohanachandran Nair, R. Bishnoi, M.B. Tahoori, H.Grigoryan, and G.Tshagharyan Variation-Aware Fault Modeling and Test Generation for STT-MRAM in proceedings of the 25th IEEE International Symposium on On-Line Testing and Robust System Design (IOLTS), Greece, 2019. Invited Paper | |
J. Krautter, D. R. E. Gnad, F. Schellenberg, A. Moradi, and M. B. Tahoori Active Fences against Voltage-based Side Channels in Multi-Tenant FPGAs in Proceedings of the International Conference on Computer-Aided Design (ICCAD), USA, 2019. | |
S. Mohanachandran Nair, R. Bishnoi, M.B. Tahoori, H. Zahedmanesh, K. Croes, K. Garello, G. Sankar Kar, and F. Catthoor Variation-aware physics based electromigration modeling and experimental calibration for VLSI interconnects in International Reliability Physics Symposium (IRPS), USA, 2019. | |
C. Münch, R. Bishnoi, and M.B. Tahoori Reliable In-Memory Neuromorphic Computing using Spintronics Asia and South Pacific Design Automation Conference (ASP-DAC), Japan, 2019. Invited paper | |
F. Rasheed, M. Hefenbrock, R. Bishnoi, M. Beigl, J. Aghassi-Hagmann and M.B. Tahoori Predictive Modeling and Design Automation of Inorganic Printed Electronics in proceedings of Design, Automation & Test in Europe (DATE), Italy, 2019. Invited paper | |
D. Weller, M. Hefenbrock, M.S. Golanbari, M. Beigl and M.B. Tahoori Bayesian Optimized Importance Sampling for High Sigma Failure Rate Estimation in proceedings of Design, Automation & Test in Europe (DATE), Italy, 2019. | |
A. Gebregiorgis, and M.B. Tahoori Test Pattern Generation for Approximate Circuits Based on Boolean Satisfiability in proceedings of Design, Automation & Test in Europe (DATE), Italy, 2019. | |
A. T. Erozan, R. Bishnoi, J. Aghassi-Hagmann and M.B. Tahoori Inkjet Printed True Random Number Generator based on Additive Resistor Tuning in proceedings of Design, Automation & Test in Europe (DATE), Italy, 2019. |
Books / Book Chapter | |
---|---|
S Tan, M Tahoori, T Kim, S Wang, Z Sun, S Kiamehr Long-Term Reliability of Nanometer VLSI Systems Modeling, Analysis and Optimization Springer, 2019. | |
Vijayan, Arunkumar, Krishnendu Chakrabarty, and Mehdi B. Tahoori Machine Learning-Based Aging Analysis. Machine Learning in VLSI Computer-Aided Design. Springer, Cham, 265-289, 2019. |
Other | |
---|---|
S. Hellebrand and M. Tahoori Proceedings of IEEE European Test Symposium, edited by S. Hellebrand and M. Tahoori , 2019. | |
F. Schellenberg, D. R. E. Gnad, A. Moradi, M. B. Tahoori An Inside Job: Remote Power Analysis Attacks on FPGAs Presentation in the "Top Picks in Hardware Security" Workshop (co-located with ICCAD'19) on this DATE'18 paper, 2019. | |
J. Krautter, D. R. E. Gnad, F. Schellenberg, A. Moradi, M. B. Tahoori Software-based Fault and Power Side-Channel Attacks inside Multi-Tenant FPGAs IEEE International Symposium on Hardware Oriented Security and Trust (HOST), USA, 2019. Demo Session, (BEST Hardware Demo Award, Third Place) |
Year 2018
Patents | |
---|---|
R. Bishnoi, C. Münch, M.B. Tahoori Multi-Bit Non-Volatile Flip-Flop EU Patent No: 18000262.8, 2018. | |
M.S. Golanbari, S. Kiamehr, R. Bishnoi, M. B. Tahoori Reliable Low-Power Memory-Based PUF Architecture EU Patent No: 18000240.4, 2018. |
Journals | |
---|---|
F. Rasheed, M. Hefenbrock, M. Beigl, M. Tahoori and J. Aghassi-Hagmann Variability Modeling for Printed Inorganic Electrolyte-Gated Transistors and Circuits in Special Issue of IEEE Transactions on Electron Devices (TED), 2018. | |
A. T. Erozan, G.C. Marques, M.S. Golanbari, R. Bishnoi, S. Dehm, J. Aghassi-Hagmann, M. B. Tahoori Inkjet Printed EGFET-based Physical Unclonable Function - Design, Evaluation, and Fabrication in IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2018. | |
J. Krautter, D. R. E. Gnad, M. B. Tahoori FPGAhammer: Remote Voltage Fault Attacks on Shared FPGAs, suitable for DFA on AES IACR Transactions on Cryptographic Hardware and Embedded Systems (TCHES), 2018. CSAW'18 Finalist | |
S. Wang, K. Chakrabarty, and M. B. Tahoori Defect Clustering-Aware Spare-TSV Allocation in 3D ICs for Yield Enhancement in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2018. | |
D. R. E. Gnad, F. Oboril, S. Kiamehr, M. B. Tahoori An Experimental Evaluation and Analysis of Transient Voltage Fluctuations in FPGAs in IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2018. | |
D. Weller, G. C. Marques, J. Aghassi-Hagmann, and M.B. Tahoori An Inkjet Printed Low-Voltage Latch based on Inorganic Electrolyte-Gated Transistors in Electron Device Letters, IEEE, 2018. | |
S. K. Garlapati, G. C. Marques, J. S. Gebauer, S. Dehm, M. Bruns, M. Winterer, M. B. Tahoori, J. Aghassi-Hagmann, H. Hahn and S. Dasgupta High performance printed oxide field-effect transistors processed using photonic curing Nanotechnology, vol. 29, no. 23, pp. 235205, 2018. | |
A. Gebregiorgis, R. Bishnoi, and M.B. Tahoori A Comprehensive Reliability Analysis Framework for NTC Caches: A System to Device Approach in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2018. | |
S. Wang, R. Wang, K. Chakrabarty, and M. B. Tahoori Multicast Testing of Interposer-Based 2.5D ICs: Test-Architecture Design and Test Scheduling in ACM Transaction on Design Automation of Electronic Systems (TODAES), 2018. | |
F. Rasheed, M. S. Golanbari, G. C. Marques, M. Tahoori and J. Aghassi-Hagmann A Smooth EKV-based DC Model for Accurate Simulation of Printed Transistors and their Process Variations in IEEE Transactions on Electron Devices (TED), 2018. | |
A. Vijayan, S. Kiamehr, F. Oboril, K. Chakrabarty, and M.B. Tahoori Workload-aware Static Aging Monitoring and Mitigation of Timing-critical Flip-flops in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2018. |
Conferences | |
---|---|
F. Schellenberg, D. R. E. Gnad, A. Moradi, M. B. Tahoori Remote Inter-Chip Power Analysis Side-Channel Attacks at Board-Level in Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD), USA, 2018. | |
F. Schellenberg, D. R. E. Gnad, A. Moradi, M. B. Tahoori An Inside Job: Remote Power Analysis Attacks on FPGAs in Proceedings of Design, Automation & Test in Europe (DATE). Germany, 2018. (Best Paper Candidate, Top Picks in Hardware Security 2019) | |
D. R. E. Gnad, S. Rapp, J. Krautter, and M. B. Tahoori Checking for Electrical Level Security Threats in Bitstreams for Multi-Tenant FPGAs International Conference on Field-Programmable Technology (FPT), Japan, 2018. | |
C. Münch, R. Bishnoi, and M.B. Tahoori Multi-Bit Non-Volatile Spintronic Flip-Flop Proceedings of Design, Automation & Test in Europe (DATE), Germany, 2018. (Best Paper Candidate) |
Books / Book Chapter | |
---|---|
S. Kiamehr, M. Tahoori, L. Anghel Manufacturing Threats In Dependable Multicore Architectures at Nanoscale (pp. 3-35). Springer, Cham, 2018. |
Year 2017
Patents | |
---|---|
R. Bishnoi, F. Oboril, and M.B. Tahoori Magnetic Probe Based Test Methodology for Spintronic Technologies EU Patent No: 17401042.1-1568, 2017. | |
R. Bishnoi, F. Oboril, and M.B. Tahoori Efficient Testing of a Magnetic Memory Circuit EU Patent No: 17001784.2-1203, 2017. |
Journals | |
---|---|
A. Gebregiorgis, and M.B. Tahoori Fine-Grained Energy-Constrained Microprocessor Pipeline Design in IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2017. | |
S. Wang, T. Kim, Z. Sun, S. X.-D. Tan, and M. B. Tahoori Recovery-aware Proactive TSV Repair for Electromigration Lifetime Enhancement in 3D ICs in IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2017. | |
S. Mohanachandran Nair, R. Bishnoi, M. S. Golanbari, F. Oboril, F. Hameed, and M. B. Tahoori VAET-STT: A Variation Aware STT-MRAM Analysis and Design Space Exploration Tool in IEEE Transcactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2017. | |
D. Alexanderscu, M. Altun, L. Anghel, A. Bernasconi, V. Ciriani, L. Frontini, and M.B. Tahoori Logic Synthesis and Testing Techniques for Switching Nano-Crossbar Arrays in Microprocessors and Microsystems, Vol. 54, 2017. | |
G. C. Marques, S. K. Garlapati, S. Dehm, S. Dasgupta, H. Hahn, M. Tahoori, and J. Aghassi-Hagmann Digital power and performance analysis of inkjet printed ring oscillators based on electrolyte-gated oxide electronics Applied Physics Letters (APL), vol. 111, no. 10, pp. 102103, 2017. | |
S. Wang, and M.B. Tahoori Electromigration-aware Local-Via Allocation in Power/Ground TSVs of 3D ICs In IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2017. | |
A. Vijayan, S. Kiamehr, M. Ebrahimi, K. Chakrabarty, and M.B. Tahoori Online Soft-Error Vulnerability Estimation for Memory Arrays and Logic Cores in IEEE Transcactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2017. | |
N. Khoshavi, R. A. Ashraf, R. F. DeMara, S. Kiamehr, F. Oboril, and M.B. Tahoori Contemporary CMOS Aging Mitigation Techniques: Survey, Taxonomy, and Methods in Integration the VLSI journal, 2017. | |
S. Kiamehr, M. Ebrahimi, M. S. Golanbari, and M.B. Tahoori Temperature-aware Dynamic Voltage Scaling to Improve Energy Efficiency of Near-Threshold Computing in IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2017. | |
N. Rohbani, M. Ebrahimi, S.G. Miremadi, and M.B. Tahoori Bias Temperature Instability Mitigation via Adaptive Cache Size Management in IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2017. | |
G. C. Marques, S. K. Garlapati, D. Chatterjee, S. Dehm, S. Dasgupta, J. Aghassi, and M. B. Tahoori Electrolyte-Gated FETs Based on Oxide Semiconductors: Fabrication and Modeling IEEE Transactions on Electron Devices (ED), vol. 64, no. 1, pp. 279-285, 2017. | |
R. Bishnoi, F. Oboril and M.B. Tahoori Design of Defect and Fault Tolerant Non-Volatile Spintronic Flip-Flops in IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2017. | |
A. Vijayan, A. Koneru, S. Kiamehr, K. Chakrabarty, and M.B. Tahoori Fine-Grained Aging-Induced Delay Prediction Based on the Monitoring of Run-Time Stress in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2017. |
Conferences | |
---|---|
K. Iwata, A. Gharehbaghi, M.B. Tahoori, and M. Fujita Post Silicon Debugging of Electrical Bugs Using Trace Buffers in Proceedings of Asian Test Symposium (ATS), 2017, Taiwan, 2017. | |
R. Elnaggar, K. Chakrabarty, and M.B. Tahoori Run-Time Hardware Trojan Detection Using Performance Counters in Proceedings of International Test Conference (ITC), 2017, USA, 2017. | |
S. Wang, Z, Sun, Y, Cheng, S.X.-D Tan, and M. B. Tahoori Leveraging Recovery Effect to Reduce Electromigration Degradation in Power/Ground TSV In Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2017, USA, 2017. Invited Paper | |
D.R.E. Gnad, F. Oboril, M.B. Tahoori Voltage Drop-based Fault Attacks on FPGAs using Valid Bitstreams International Conference on Field-Programmable Logic and Applications (FPL), 2017, Belgium, 2017. Best Paper Award | |
A. Gebregiorgis, and M.B. Tahoori Reliability Analysis and Mitigation of Near Threshold Caches in proceedings of the IEEE International On-Line Testing Symposium (IOLTS), 2017, Greece, 2017. Invited paper | |
M.S. Golanbari, and M.B. Tahoori Design Flows for Resilient Energy Efficient Systems in proceedings of the IEEE International On-Line Testing Symposium (IOLTS), 2017, Greece, 2017. Invited paper | |
S. Mittal, R. Bishnoi, F. Oboril, H. Wang, M.B. Tahoori, A. Jog and J.S. Vetter Architecting SOT-RAM Based GPU Register File in IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2017, Germany, 2017. | |
M.B. Tahoori, S.M. Nair, R. Bishnoi, S. Senni, J. Mohdad, F. Mailly, L. Torres, P. Benoit, P. Nouet, R. Ma, M. Kreißig, F. Ellinger, K. Jabeur, P. Vanhauwaert, G. Di Pendina and G. Prenat GREAT: heteroGeneous integRated magnetic tEchnology using multifunctional standardized sTack in IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2017, Germany, 2017. | |
A. Gebregiorgis, S. Kiamehr, and M.B. Tahoori Error Propagation Aware Timing Relaxation For Approximate Near Threshold Computing in Proceedings of Design Automation Conference (DAC), 2017, USA, 2017. | |
D. Weller, F. Oboril, D. Lukarski, J. Becker, and M.B. Tahoori Energy Efficient Scientific Computing on FPGAs using OpenCL in Proceedings of the ACM/ SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA), 2017, USA, 2017. | |
N. Sayed, F. Oboril, A. Shirvanian, R. Bishnoi, and M.B. and Tahoori Exploiting STT-MRAM for Approximate Computing in proceedings of European Test Symposium (ETS), 2017, Cyprus, 2017. | |
M. S. Golanbari, N. Sayed, M. Ebrahimi, M. H. Moshrefpour Esfahany, S. Kiamehr, and M.B. Tahoori Aging-Aware Coding Scheme for Memory Arrays in proceedings of European Test Symposium (ETS), 2017, Cyprus, 2017. Best Paper Candidate | |
M. Altun, V. Ciriani, and M.B. Tahoori Computing with Nano-Crossbar Arrays: Logic Synthesis and Fault Tolerance in Proceedings of Design, Automation & Test in Europe (DATE), 2017, Switzerland, 2017. | |
S. Kiamehr, MS. Saber Golanbari, and M.B. Tahoori Leveraging Aging Effect to Improve SRAM-based True Random Number Generators in Proceedings of Design, Automation & Test in Europe (DATE), 2017, Switzerland, 2017. | |
N. Sayed, F. Oboril, R. Bishnoi, and M. B. Tahoori Leveraging Systematic Unidirectional Error-Detecting Codes for Fast STT-MRAM Cache in proceedings of VLSI Test Symposium (VTS), 2017, USA, 2017. | |
N. Sayed, M. Ebrahimi, R. Bishnoi, and M. B. Tahoori Opportunistic Write for Fast and Reliable STT-MRAM in Proceedings of Design, Automation & Test in Europe (DATE), 2017, Switzerland, 2017. Invited Paper | |
M. S. Golanbari, S. Kiamehr, F. Oboril, A. Gebregiorgis and M. B. Tahoori Post-Fabrication Calibration of Near-Threshold Circuits for Energy Efficiency in Proceedings of the International Symposium on Quality Electronic Design (ISQED), 2017, USA, 2017. | |
S. Wang, H. Zaho, S.X.-D Tan, and M. B. Tahoori Recovery-aware Proactive TSV Repair for Electromigration in 3D ICs in Proceedings of Design, Automation & Test in Europe (DATE), 2017, Switzerland, 2017. | |
S. Mohanachandran Nair, R. Bishnoi, M. S. Golanbari, F. Oboril, and M. B. Tahoor VAET-STT: A Variation Aware Estimator Tool for STT-MRAM based Memories in Proceedings of Design, Automation & Test in Europe (DATE), 2017, Switzerland, 2017. | |
A. Vijayan, S. Kiamehr, F. Oboril, K. Chakrabarty, and M.B. Tahoori Workload-aware Static Aging Monitoring of Timing Critical Flip-flops in Proceedings of the Asia and South Pacific Design Automation Conference (ASPDAC), 2017, Japan, 2017. Best Paper Candidate |
Books / Book Chapter | |
---|---|
M.B. Tahoori Reliable Design for Crossbar Nano-architectures In Suzuki, Junichi, Nakano, Tadashi, Moore, Michael John “Modeling, Methodologies and Tools for Molecular and Nano-scale Communication”, 2017. |
Year 2016
Patents | |
---|---|
F. Oboril, M. Tahoori, R. Bishnoi Non-Volatile Non-Shadow Flip-Flop EU Patent No: 16000198.8-1805, 2016. (pending) |
Journals | |
---|---|
M. Ebrahimi, H. Asadi, R. Bishnoi, and M.B. Tahoori Layout-based Modeling and Mitigation of Multiple Event Transients in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2016. | |
F. Ye, F. Firouzi, Y. Yang, K. Chakrabarty, and M.B. Tahoori On-chip Droop-induced Circuit Delay Prediction Based on Support-Vector Machines in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2016. | |
R. Bishnoi, M. Ebrahimi, F. Oboril and M.B. Tahoori Improving Write Performance for STT-MRAM IEEE Transactions on Magnetics (TMAG), 2016. | |
A. Amouri, J. Hepp and M.B. Tahoori Built-in Self-Heating Thermal Testing of FPGAs in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2016. | |
G. Prenat, G.D. Pendina, K. Jabeur, P. Vanhauwaert, O. Boulle, M. Miron, G. Gaudin, F. Oboril, R. Bishnoi, M. Ebrahimi, M. Tahoori, K. Garello and P. Gambardella Ultra-Fast and High-Reliability SOT-MRAM: from Cache Replacement to Normally-o Computing in IEEE Transactions on Multi-Scale Computing Systems (TMSCS), 2016. | |
M. Ebrahimi, P.M.B. Rao, R. Seyyedi, M.B. Tahoori Low-cost Multiple Bit Upset Correction in SRAM-based FPGA Configuration Frames in IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2016. | |
L. Chen, M. Ebrahimi, and M.B. Tahoori Reliability-aware Resource Allocation and Binding in High Level Synthesis in ACM Transaction on Design Automation of Electronic Systems (TODAES), 2016. | |
R. Bishnoi, F. Oboril, M. Ebrahimi and M.B. Tahoori Self-timed Read and Write Operations in STT-MRAM in IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2016. |
Conferences | |
---|---|
D. Alexandrescu, M. Altun, L. Anghel, A. Bernasconi, V. Ciriani, L. Frontini and M.B. Tahoori Synthesis and Performance Optimization of a Switching Nano-Crossbar Computer Euromicro Conference on Digital System Design (DSD), 2016. | |
D. R. E. Gnad, F. Oboril, S. Kiamehr and M. B. Tahoori Analysis of Transient Voltage Fluctuations in FPGAs in International Conference on Field-Programmable Technology (FPT), 2016, China, 2016. Best Paper Candidate | |
M. S. Golanbari, S. Kiamehr, and M. B. Tahoori Hold-time Violation Analysis and Fixing in Near-Threshold Region International Workshop on Power And Timing Modeling, Optimization and Simulation (PATMOS), 2016, Germany, 2016. | |
M. S. Golanbari, A. Gebregiorgis, F. Oboril, S. Kiamehr, and M. B. Tahoori A Cross-Layer Approach for Resiliency and Energy Efficiency in Near Threshold Computing in proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2016, USA, 2016. Invited paper | |
S. Wang, R. Wang, K. Chakrabarty, M. B. Tahoori Multicast Test Architecture and Test Scheduling for Interposer-based 2.5D ICs in Asian Test Symposium (ATS), 2016, Japan, 2016. Invited Paper | |
M. Ebrahimi, M. Rashvand, F. Kaddachi, M.B. Tahoori and G.Di Natale Revisiting Software-based Soft Error Mitigation Techniques via Accurate Error Generation and Propagation Models in proceedings of the IEEE International On-Line Testing Symposium (IOLTS), 2016, Spain, 2016. | |
M.B. Tahoori, Rob Aitken, Sriram R. Vangal and Bal Sandhu Test implications and challenges in near threshold computing in proceedings of 34st VLSI Test Symposium (VTS), 2016, USA, 2016. | |
Fabian Oboril, Azadeh Shirvanian and M.B. Tahoori Fault tolerant approximate computing using emerging non-volatile spintronic memories in proceedings of 34st VLSI Test Symposium (VTS), 2016, USA, 2016. | |
A. Gebregiorgis, M. Golanbari, S. Kiamehr, F. Oboril and M.B. Tahoori Maximizing Energy Efficiency in NTC by Variation-Aware Microprocessor Pipeline Optimization International Symposium on Low Power Electronics and Design (ISLPED), 2016, USA, 2016. | |
F. Oboril, F. Hameed, R. Bishnoi, A. Ahari, H. Naeimi and M.B. Tahoori Normally-OFF STT-MRAM Cache with Zero-Byte Compression for Energy Efficient Last-Level Caches International Symposium on Low Power Electronics and Design (ISLPED), 2016, USA, 2016. | |
S. Kiamehr, P. Weckx, M.B. Tahoori, B. Kaczer, H. Kukner, P. Raghavan, G. Groeseneken, F. Catthoor The Impact of Process Variation and Stochastic Aging in Nanoscale VLSI in Proceedings of International Reliability Physics Symposium (IRPS), 2016, USA, 2016. | |
S. Kiamehr, M. Ebrahimi and M.B. Tahoori Temperature-aware Dynamic Voltage Scaling for Near-Threshold Computing in Proceedings of Great Lakes Symposium on VLSI (GLSVLSI), 2016, USA, 2016. | |
R. Bishnoi, F. Oboril and M.B. Tahoori Low-Power Multi-Port Memory Architecture based on Spin Orbit Torque Magnetic Devices in Proceedings of Great Lakes Symposium on VLSI (GLSVLSI), 2016, USA, 2016. | |
Gabriel C. Marques, Suresh K. Garlapati, Simone Dehm, Subho Dasgupta, Jasmin Aghassi and M. B. Tahoori ICompact modeling of inkjet printed, high mobility, electrolyte-gated transistors in 55. Workshop on Microelectronics, IEEE German Section Solid-State Circuit Society, 2016, Germany, 2016. Best Paper Award | |
M. Ebrahimi, M.H. Moshrefpour, M.S. Golanbari, M.B. Tahoori Fault Injection Acceleration by Simultaneous Injection of Non-interacting Faults in Design Automation Conference (DAC), 2016, USA, 2016. | |
M. Ebrahimi, M.B. Tahoori Cross-layer Approaches for Soft Error Modeling and Mitigation in Design Automation Conference (DAC), 2016, USA, 2016. | |
A. Vijayan, A. Koneru, M. Ebrahimi, K. Chakrabarty, and M.B. Tahoori Online Soft-Error Vulnerability Estimation for Memory Arrays in proceedings of 34st VLSI Test Symposium (VTS), 2016, USA, 2016. | |
F. Hameed, M. Tahoori Architecting STT Last-Level-Cache for Performance and Energy Improvement in Proceedings of the International Symposium on Quality Electronic Design (ISQED), 2016, USA, 2016. | |
A. Gebregiorgis, F. Oboril, M.B. Tahoori, and S. Hamdioui Instruction Cache Aging Mitigation Through Instruction Set Encoding in Proceedings of the International Symposium on Quality Electronic Design (ISQED), 2016, USA, 2016. | |
S. Wang, K, Chakrabarty, M.B. Tahoori Thermal-aware TSV Repair for Electromigration in 3D ICs n Proceedings of Design, Automation & Test in Europe (DATE), 2016, Germany, 2016. | |
M.S. Golanbari, S. Kiamehr, M. Ebrahimi, and M.B. Tahoori Variation-aware Near Threshold Circuit Synthesis in Proceedings of Design, Automation & Test in Europe (DATE), 2016, Germany, 2016. | |
A. Gebregiorgis, S. Kiamehr, F. Oboril, R. Bishnoi, and M.B. Tahoori A Cross-Layer Analysis of Soft Error, Aging and Process Variation in Near Threshold Computing in Proceedings of Design, Automation & Test in Europe (DATE), 2016, Germany, 2016. | |
R. Bishnoi, F. Oboril and M.B. Tahoori Fault Tolerant Non-Volatile Spintronic Flip-Flop in Proceedings of Design, Automation & Test in Europe (DATE), 2016, Germany, 2016. | |
R. Bishnoi, F. Oboril and M.B. Tahoori Non-Volatile Non-Shadow Flip-Flop using Spin Orbit Torque for Efficient Normally-off Computing in Proceedings of the Asia and South Pacific Design Automation Conference (ASPDAC), 2016, China, 2016. | |
F. Kaddachi, M. Kooli, G. Di Natale, A. Bosio, M. Ebrahimi, M.B. Tahoori System-level Reliability Evaluation through Cache-aware Software-based Fault Injection IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS), 2016. | |
R. Ashraf, N. Khoshavi, A. Alzahrani, R.F. DeMara, S. Kiamehr, M. Tahoori Area-Energy Tradeoffs of Logic Wear-Leveling for BTI-induced Aging in Proceedings of ACM International Conference on Computing Frontiers, 2016. |
Workshop | |
---|---|
F. Oboril, R. Bishnoi, M. Ebrahimi, M.B. Tahoori, G. Di Pendina, K. Jabeur, and G. Prenat Spin Orbit Torque memory for non-volatile microprocessor caches International Workshop on Emerging Memory Solutions, 2016, Germany, 2016. | |
F. Oboril, and M. B. Tahoori Cross-Layer Approaches for an Aging-Aware Design Space Exploration of Microprocessors Workshop on Early Reliability Modelling for Aging and Variability in Silicon Systems (ERMAVSS), 2016, Germany, 2016. |
Year 2015
Journals | |
---|---|
F. Firouzi, F. Ye, K. Chakrabarty and M.B. Tahoori Aging- and variation-aware delay monitoring using representative critical path selection accepted for publication in ACM Transaction on Design Automation of Electronic Systems (TODAES), 2015. | |
F. Oboril, and M.B. Tahoori Exploiting Instruction Set Encoding for Aging-Aware Microprocessor Design in ACM Transactions on Design Automation of Electronic Systems (TODAES), 2015. | |
M. Ebrahimi, A. Evans, M.B. Tahoori, E. Costenaro, D. Alexandrescu, V. Chandra, R. Seyyedi Comprehensive Analysis of Sequential and Combinational Soft Errors in an Embedded Processor in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2015. | |
F. Oboril, R. Bishnoi, M. Ebrahimi and M.B. Tahoori Evaluation of Hybrid Memory Technologies using SOT-MRAM for On-Chip Cache Hierarchy in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2015. | |
L. Chen, M. Ebrahimi, M.B. Tahoori Formal Quantification of the Register Vulnerabilities to Soft Error in RTL Control Paths ournal of Electronic Testing: Theory and Applications (JETTA), 2015. | |
S. Kiamehr, M. Ebrahimi, F. Firouzi, and M.B. Tahoori Extending Standard Cell Library for Aging Mitigation in IET Computers & Digital Techniques, 2015. | |
M. Ottavi, S. Pontarelli, D. Gizopoulos, M.K. Michael, L. Anghel, M. Tahoori, A. Paschalis, P. Reviriego, O. Bringman, V. Izosimov, H. Manhaeve, C. Strydis, S. Hamdioui Dependable Multicore Architectures at Nanoscale: the view from Europe IEEE Design & Test, 2015. | |
M. Glaß, H. Aliee, L. Chen, M. Ebrahimi, F. Khosravi, V. Kleeberger, A. Listl, D. Müller-Gritchneder, F. Oboril, U. Schlichtmann, M.B. Tahoori, J. Teich, N. Wehn, C. Weis Application-aware cross-layer reliability analysis and optimization in it - Information Technology, 2015. |
Conferences | |
---|---|
A. Ahari, M. Ebrahimi, F. Oboril and M.B. Tahoori Improving Reliability, Performance, and Energy Efficiency of STT-MRAM with Dynamic Write Latency in International Conference on Computer Design (ICCD), USA, 2015. | |
M. Ebrahimi and M.B. Tahoori Stepped Parity: A Low-cost Multiple Bit Upset Detection Technique Proceedings of International Test Conference (ITC), USA, 2015. | |
M.B. Tahoori, A. Chatterjee, K. Chakrabarty, A. Koneru, A. Vijayan and D. Banerjee Self-awareness and self-learning for resiliency in real-time systems to appear in Proc. IEEE International Online Test Symposium (IOLTS), Greece, 2015. | |
A. Koneru, A. Vijayan, K. Chakrabarty, M.B. Tahoori Fine-Grained Aging Prediction Based on the Monitoring of Run-Time Stress Using DfT Infrastructure in Proc. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), USA, 2015. Invited paper | |
S. Wang, K, Chakrabarty, M.B. Tahoori Defect Clustering-Aware Spare-TSV Allocation for 3D ICs in Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD), USA, 2015. Best Paper Award | |
S. Wang, F. Firouzi, F. Oboril, M.B. Tahoori Deadspace-aware Power/Ground TSV Planning in 3D Floorplanning in Proceedings of International Conference on Integrated Circuit Design and Technology (ICICDT), Belgium, 2015. Invited Paper | |
M. Ebrahimi, N, Sayed, M. Rashvand, M.B. Tahoori Fault Injection Acceleration by Architectural Importance Sampling in proceedings of International Conference on Hardware-Software Codesign and System Synthesis (CODES+ISSS), Netherlands, 2015. | |
A. Ahari. M. Ebrahimi, M.B. Tahoori Energy Efficient Partitioning of Dynamic Reconfigurable MRAM-FPGAs Proceedings of the 25th International Conference on Field Programmable Logic and Applications (FPL), UK, 2015. | |
R. Aitken, E.H. Cannon, M. Pant, M.B. Tahoori Resiliency Challenges in sub-10nm Technologies in proceedings of VLSI Test Symposium (VTS), USA, 2015. | |
M.S. Golanbari, S. Kiamehr, M. Ebrahimi, M.B. Tahoori Aging Guardband Reduction through Selective Flip-Flop Optimization in proceedings of European Test Symposium (ETS), Romania, 2015. | |
A. Banaiyanmofrad, M. Ebrahimi, F. Oboril, M.B. Tahoori, N. Dutt Protecting Caches Against Multiple Bit Upsets Using Embedded Erasure Coding in proceedings of European Test Symposium (ETS), Romania, 2015. | |
L. Chen, M. Ebrahimi, M.B. Tahoori Reliability-aware Operation Chaining in High Level Synthesis in proceedings of European Test Symposium (ETS), Romania, 2015. | |
F. Firouzi, F. Ye, A. Vijayan, A. Koneru, K. Chakrabarty, M.B. Tahoori Re-using BIST for Circuit Aging Monitoring in proceedings of European Test Symposium (ETS), Romania, 2015. | |
M. S. Golanbari, S. Kiamehr, M. B. Tahoori, S. Nassif Analysis and Optimization of Flip-Flops Under Process and Runtime Variations in Proceedings of the International Symposium on Quality Electronic Design (ISQED), USA, 2015. | |
F. Oboril, J. Ewert, and M. B. Tahoori High-Resolution Online Power Monitoring for Modern Microprocessors in Proceedings of Design, Automation & Test in Europe (DATE), France, 2015. | |
R. Baranowski, F. Firouzi, S. Kiamehr, C.Liu, M. Tahoori, H. Wunderlich On-Line Prediction of NBTI-induced Aging Rates in Proceedings of Design, Automation & Test in Europe (DATE), France, 2015. | |
F. Oboril, M. Ebrahimi, S. Kiamehr, M.B. Tahoori Cross-Layer Resilient System Design Flow in Proceedings of theInternational Symposium on Circuits and Systems (ISCAS), Portugal, 2015. Invited Paper | |
S. Wang, F. Firouzi, F. Oboril, M.B. Tahoori Stress-aware P/G TSV Planning in 3D-ICs in Proceedings of the Asia and South Pacific Design Automation Conference (ASPDAC), Japan, 2015. | |
M. Ebrahimi, R. Seyyedi, L. Chen, M.B. Tahoori Event-driven Transient Error Propagation: A Scalable and Accurate Soft Error Rate Estimation Approach in Proceedings of the Asia and South Pacific Design Automation Conference (ASPDAC), Japan, 2015. | |
A. Gebregiorgis, M. Ebrahimi, S. Kiamehr, F. Oboril, S. Hamdioui, M.B. Tahoori Aging Mitigation in Memory Arrays Using Self-controlled Bit-flipping Technique in Proceedings of the Asia and South Pacific Design Automation Conference (ASPDAC), Japan, 2015. |
Workshop | |
---|---|
M. S. Golanbari, S. Kiamehr, M. B. Tahoori Resilient Flip-Flop Design under Process and Runtime Variations The 11th Workshop on Silicon Errors in Logic - System Effects (SELSE), USA, 2015. |
Year 2014
Journals | |
---|---|
F. Oboril and M.B. Tahoori Aging-Aware Design of Microprocessor Instruction Pipelines in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2014. | |
M. Beste and M. Tahoori Effect of the Active Layer on Carbon Nanotube-based cells for Yield Analysis in ACM Journal of Emerging Technologies (JETC), 2014. | |
A. Herkersdorf, H. Aliee, M. Engel, M. Glaß, C. Gimmler-Dumont, J. Henkel, V. B. Kleeberger, M. A. Kochte, J. M. Kühn, D. Mueller-Gritschneder, S. R. Nassif, H. Rauchfuss, W. Rosenstielf, U. Schlichtmann, M. Shafique, M. B. Tahoori, J. Teich, N. Wehn, C. Weis, H.-J. Wunderlich Resilience Articulation Point (RAP): Cross-layer Dependability Modeling for Nanometer System-on-chip Resilience in Elsevier Microelectronics Reliability Journal, 2014. | |
R. Wille, R. Drechsler, M.B. Tahoori Introduction to the Special Issue on Reversible Computation ACM Journal of Emerging Technologies (JETC), 11(2), 2014. | |
N. Dutt, and M.i Tahoori Introduction to Special Issue on Cross-layer Dependable Embedded Systems ACM Transactions on Embedded Computing Systems (TECS), 2014. |
Conferences | |
---|---|
R. Bishnoi, M. Ebrahimi, F. Oboril and M.B. Tahoori Read Disturb Fault Detection in STT-MRAM Proceedings of International Test Conference (ITC), USA, 2014. | |
Fangming YE, F. Firouzi, K. Chakrabarty, M. Tahoori Chip Health Monitoring Using Machine Learning Proceedings of Annual Symposium on VLSI (ISVLSI), USA, 2014. Invited Paper | |
A. Ahari, H. Asadi, and M. Tahoori Emerging Non-Volatile Memory Technologies for Future Low Power Reconfigurable System International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC), France, 2014. Invited Paper | |
F. Firouzi, , F. Ye, S. Kiamehr, K. Chakrabarty, and M. Tahoori Adaptive Mitigation of Parameter Variations In Asian Test Symposium (ATS), China, 2014. Invited Paper | |
A. Ahari, H. Asadi, B. Khaleghi, Z. Ebrahimi, and M. B. Tahoori Towards Dark Silicon Era in FPGAs Using Complementary Hard LogicDesign Proceedings of the 24th International Conference on Field Programmable Logic and Applications (FPL), Germany, 2014. | |
A. Amouri, F. Bruguier, S. Kiamehr, P. Benoit, L. Torres and M. Tahoori Aging Effects in FPGAs: an Experimental Analysis Proceedings of the 24th International Conference on Field Programmable Logic and Applications (FPL), Germany, 2014. | |
M. Beste, S. Kiamehr, and M.B. Tahoori Physical Design of CNTFET-based Circuits for Yield Improvement International New Circuits and Systems Conference (NEWCAS), Canada, 2014. Invited Paper | |
S. Kiamehr, T. Osiecki, M.B. Tahoori, Sani Nassif Radiation-Induced Soft Error Analysis of SRAMs in SOI FinFET Technology: A Device to Circuit Approach in Proceedings of Design Automation Conference (DAC), USA, 2014. | |
P.M.B. Rao, M. Ebrahimi, R. Seyyedi, M. Tahoori Protecting SRAM-based FPGAs Against Multiple Bit Upsets Using Erasure Codes Proceedings of Design Automation Conference (DAC), USA, 2014. | |
L. Chen, M. Tahoori Reliability-aware Register Binding for Control-Flow Intensive Designs Proceedings of Design Automation Conference (DAC), USA, 2014. | |
L. Chen, M. Ebrahimi, M. Tahoori Quantitative Evaluation of Register Vulnerabilities in RTL Control Paths Proceedings of the European Test Symposium (ETS), Germany., 2014. | |
A. Amouri, J. Hepp, M. Tahoori Self-Heating Thermal-Aware Testing of FPGAs Proceedings of the 32nd IEEE VLSI Test Symposium (VTS), Napa, California, USA, 2014. | |
Fangming YE, F. Firouzi, Y. Yang, K. Chakrabarty, M. Tahoori On-Chip Voltage-Droop Prediction Using Support-Vector Machines Proceedings of the 32nd IEEE VLSI Test Symposium (VTS), Napa, California, USA, 2014. | |
R. Bishnoi, F. Oboril, M. Ebrahimi, and M. Tahoori Avoiding Unnecessary Write Operations in STT-MRAM for Low Power Implementation in Proceedings of the International Symposium on Quality Electronic Design (ISQED), USA, 2014. | |
M. Ebrahimi, A. Evans, M. Tahoori, R. Seyyedi, E. Costenaro and D. Alexandresc Comprehensive Analysis of Alpha and Neutron Particle-induced Soft Errors in an Embedded Processor at Nanoscales in Proceedings of Design, Automation & Test in Europe (DATE), Germany, 2014. Best Paper Candidate | |
S. Wang, F. Firouzi, F. Oboril, M. Tahoori P/G TSV Planning for IR-drop Reduction in 3D-ICs in Proceedings of Design, Automation & Test in Europe (DATE), Germany, 2014. | |
R. Bishnoi, M. Ebrahimi, F. Oboril and M.B. Tahoori Asynchronous Asymmetrical Write Termination (AAWT) for a Low Power STT-MRAM in Proceedings of Design, Automation & Test in Europe (DATE), Germany, 2014. | |
A. Ahari, H. Asadi, B. Khaleghi, M. Tahoori A Power-Efficient Reconfigurable Architecture Using PCM Configuration Technology in Proceedings of Design, Automation & Test in Europe (DATE), Germany, 2014. | |
S. Kiamehr, F. Firouzi, M. Ebrahimi, M. Tahoori Aging-aware Standard Cell Library Design in Proceedings of Design, Automation & Test in Europe (DATE), Germany, 2014. | |
R. Bishnoi, M. Ebrahimi, F. Oboril and M. Tahoori Architectural Aspects in Design and Analysis of SOT-based Memories in proceedings of the Asia and South Pacific Design Automation Conference (ASPDAC), Singapore, 2014. Invited Paper | |
F. Oboril, M. Tahoori ArISE: Aging-aware Instruction Set Encoding for Lifetime Improvement in proceedings of the Asia and South Pacific Design Automation Conference (ASPDAC), Singapore, 2014. | |
M. Beste, S. Kiamehr, M. Tahoori Layout–aware Delay Variation Optimization for CNTFET–based Circuits in proceedings of VLSI Design Conference (VLSID), India, 2014. |
Workshop | |
---|---|
S. Kiamehr, M.B. Tahoori A Cross-Layer Approach for Soft Error Analysis of SRAMs in SOI FinFET Technology The 10th Workshop on Silicon Errors in Logic – System Effects (SELSE), USA, 2014. | |
P.M.B. Rao, A. Amouri, M. Tahoori Generation of Equivalent Configurations for Defect Tolerance and Yield Improvement of FPGAs Third Workshop on Manufacturable and Dependable Multicore Architectures at Nanoscale (MEDIAN'14), Dresden, Germany, 2014. |
Year 2013
Journals | |
---|---|
F. Oboril, F. Firouzi, S. Kiamehr, and M. Tahoori Negative Bias Temperature Instability-Aware Instruction Scheduling: A Cross-Layer Approach Journal of Low Power Electronics. 9, 2013. | |
L. Chen, M. Ebrahimi and M. Tahoori, CEP: Correlated Error Propagation for Hierarchical Soft Error Analysis Journal of Electronic Testing: Theory and Applications (JETTA), 2013. | |
F. Firouzi, S. Kiamehr, M. Tahoori Power-aware Minimum NBTI Vector Selection using a Linear Programming Approach in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2013. |
Conferences | |
---|---|
A. Amouri and M. Tahoori Degradation in FPGAs: Modeling, Monitoring and Mitigation Proceedings of the IEEE International Conference on Field Programmable Logic and Applications (FPL), Porto, Portugal, 2013. PhD forum paper | |
M. Ebrahimi, F. Oboril, S. Kiamehr, M. Tahoori Aging-aware Logic Synthesis in proceedings of the International conference on Computer-Aided Design (ICCAD), USA, 2013. | |
P. M. B. Rao, A. Amouri, S. Kiamehr, M. Tahoori Altering LUT Configuration for Wear-out Mitigation of FPGA-Mapped Designs Proceedings of the IEEE International Conference on Field Programmable Logic and Applications (FPL), Porto, Portugal, 2013. | |
F. Oboril, I. Sagar, M. Tahoori A-SOFT-AES: Self-Adaptive Software-Implemented Fault-Tolerance for AES Proceedings of the IEEE International On-Line Testing Symposium (IOLTS), Greece, 2013. | |
F. Firouzi, M.B. Tahoori, F. Ye, K. Chakrabarty Representative Critical-Path Selection for Aging-induced Delay Monitoring Proceedings of International Test Conference (ITC), USA, 2013. | |
A. Amouri, H. Amrouch, T. Ebi, J. Henkel, M. Tahoori Accurate Thermal-Profile Estimation and Validation for FPGA-Mapped Circuits Proceedings of the 21st IEEE International Symposium on Field-Programmable Custom Computing Machines (FCCM), Seattle, Washington, USA, April 28-30, 2013. Received a 'European Network of Excellence on High Performance and Embedded Architecture and Compilation' (HiPEAC) Paper Award | |
M. Ebrahimi, H. Asadi, M. Tahoori A Layout-based Approach for Multiple Event Transient Analysis in proceedings of 50th Design Automation Conference (DAC), USA, 2013. | |
J. Henkel, L. Bauer, N. Dutt, P. Gupta, S. Nassif, M. Shafique, M. Tahoori, N. Wehn Reliable On-Chip Systems in the Nano-Era: Lessons Learnt and Future Trends in proceedings of 50th Design Automation Conference (DAC), USA, 2013. | |
S. Kiamehr, F. Firouzi, M. Tahoori A Layout-aware X-Filling Approach for Dynamic Power Supply Noise Reduction in At-Speed Scan Testing in Proceedings of European Test Symposium (ETS), France, 2013. | |
S. Kiamehr, M. Ebrahimi, F. Firouzi, M. Tahoori Chip-level Modeling and Analysis of Electrical Masking of Soft Errors in proceedings of 31st VLSI Test Symposium (VTS), USA, 2013. | |
M. Ebrahimi, L. Chen, H. Asadi, M. Tahoori CLASS: Combined Logic and Architectural Soft Error Sensitivity Analysis in Proceedings of Asia and South Pacific Design Automation Conference (ASPDAC), Japan, 2013. | |
F. Firouzi, S. Kiamehr, M. Tahoori Statistical Analysis of BTI in the Presence of Process-induced Voltage and Temperature Variations in Proceedings of Asia and South Pacific Design Automation Conference (ASPDAC), Japan, 2013. | |
S. Kiamehr, F. Firouzi, M. Tahoori Aging-aware Timing Analysis Considering Combined Effects of NBTI and PBTI in Proceedings of International Symposium on Quality Electronic Desing (ISQED), USA, 2013. | |
Y. Hara-Azumi, F. Firouzi, S. Kiamehr, M. Tahoori Instruction-Set Extension under Process Variation and Aging Effects n Proceedings of Design, Automation & Test in Europe (DATE), France, 2013. | |
F. Firouzi, S. Kiamehr, M. Tahoori, S. Nassif Incorporating the Impacts of Workload-Dependent Runtime Variations into Timing Analysis in Proceedings of Design, Automation & Test in Europe (DATE), France, 2013. | |
F. Oboril, M. Tahoori MTTF-Balanced Pipeline Design in Proceedings of Design, Automation & Test in Europe (DATE), France, 2013. Best Paper Candidate |
Books / Book Chapter | |
---|---|
A. Amouri, M. Tahoori Lifetime Reliability Sensing in Modern FPGAs In P. Athanas, D. Pnevmatikatos, N. Sklavos, editors, “Embedded Systems Design with FPGAs”, Springer, 2013. ISBN 978-1-4614-1361-5 |
Workshop | |
---|---|
E. Costenaro, A. Evans, D. Alexandrescu, L. Chen, M. Tahoori and M. Nicolaidis owards a Hierarchical and Scalable Approach for Modeling the Effects of SETs The 9th Workshop on Silicon Errors in Logic – System Effects (SELSE), USA, 2013. | |
A. Herkersdorf, M. Engel, M. Glass, J. Henkel, V.B. Kleeberger, M.A. Kochte, J.M. Kuhn, S.R. Nassif, H. Rauchfuss, W. Rosenstiel, U. Schlichtmann, M. Shafique, M.B. Tahoori, J. Teich, N. Wehn, C. Weis, H. Wunderlich Cross-layer Dependability Modeling and Abstraction in System on Chip The 9th Workshop on Silicon Errors in Logic – System Effects (SELSE), USA, 2013. | |
M, Beste, M. Tahoori Effect of the Active Layer on Carbon Nanotube-based Cell Designs proceedings of Workshop on Design and Test Methodologies for Emerging Technologies (DETMET), France, 2013. |
Year 2012
Journals | |
---|---|
F. Oboril, M. Tahoori ExtraTime: Eine Mikroarchitektur-Simulationsumgebung zur Modellierung, Analyse und Linderung von Alterungseffekten 11/2012 GMM Mechatronik, 2012. invited paper | |
M. Zamani, H. Mirzaei, M. Tahoori ILP Formulations for Variation/Defect Tolerant Logic Mapping on Crossbar Nano-Architectures in ACM Journal of Emerging Technologies in Computing Systems (JETC), 2012. | |
B. Ghavami, M. Raji, H. Pedram, M. Tahoori Design and Analysis of a Robust Carbon Nanotube-based Asynchronous Primitive Circuit in ACM Journal of Emerging Technologies in Computing Systems (JETC), 2012. | |
H. Asadi, M. Tahoori, M. Fazeli, S.G. Miremadi Efficient algorithms to accurately compute derating factors of digital circuits Elsevier Microelectronics Reliability, 2012. |
Conferences | |
---|---|
A. Amouri, S. Kiamehr, M. Tahoori Investigation of Aging Effects in Different Implementations and Structures of Programmable Routing Resources of FPGAs Proceedings of the International Conference of Field-Programmable Technology (FPT), Seoul, Soth Korea, 2012. | |
F. Oboril, F. Firouzi, S. Kiamehr, M. Tahoori Reducing NBTI-induced Processor Wearout by Exploiting the Timing Slack of Instructions Proceedings of CODES+ISSS, Tampere, Finland, 2012. | |
U. D. Bordoloi, B. Tanasa, M. Tahoori, P. Eles, Z. Peng, S. Z. Shazli and S. Chakraborty Reliability-Aware Instruction Set Customization for ASIPs with Hardened Logic Proceedings of the 18th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA), Seoul, Korea, 2012. | |
A. Amouri, M. Tahoori High-Level Aging Estimation For FPGA-Mapped Designs Proceedings of the IEEE International Conference on Field Programmable Logic and Applications (FPL), Oslo, Norway, 2012. | |
L. Chen, M. Tahoori An Efficient Probability Framework for Error Propagation and Correlation Estimation 18th IEEE International On-Line Testing Symposium (IOLTS), Sitges, Spain, 2012. | |
S. Shazli and M. Tahoori Online Detection And Recovery Of Transient Errors In Front-End Structures Of Microprocessors proceedings of the IEEE European Test Symposium (ETS), Annecy, France, 2012. | |
F. Oboril and M. Tahoori ExtraTime: Modeling and Analysis of Wearout due to Transistor Aging at Microarchitecture-Level proceedings of the international conference on Dependable Systems and Networks (DSN), Boston, USA, 2012. | |
S. Kiamehr, F. Firouzi, and M. Tahoori Input and Transistor Reordering for NBTI and HCI Reduction in Complex CMOS Gates Proceedings of the 22nd GLVLSI, Salt Lake City, Utah, USA, 2012. | |
M. Zamani, M. Tahoori Reliable Logic Mapping on Nano-PLA Architectures Proceedings of the 22nd GLVLSI, Salt Lake City, Utah, USA, 2012. | |
F. Oboril and M. Tahoori Reducing Wearout in Embedded Processors using Proactive Fine-Grain Dynamic Runtime Adaptation proceedings of the IEEE European Test Symposium (ETS), Annecy, France, 2012. | |
M. Zamani, M. Tahoori, K. Chakrabarty Ping-Pong Test: Compact Test Vector Generation for Reversible Circuits 30th IEEE VLSI Test Symposium (VTS), Hyatt Maui, Hawaii, USA, 2012. | |
F. Firouzi, S. Kiamehr, and M. Tahoori NBTI Mitigation by Optimized NOP Assignment and Insertion DATE, 2012. | |
M. Beste, M. Tahoori Layout-Driven Robustness Analysis for Misaligned Carbon Nanotubes in CNTFET-based Standard Cells DATE, Dresden, 2012. |
Workshop | |
---|---|
L. Chen, M. Tahoori Soft Error Propagation and Correlation Estimation in Combinational Network The First Workshop on Manufacturable and Dependable Multicore Architectures at Nanoscale (MEDIAN), Annecy, France, 2012. | |
F. Oboril, F. Firouzi, S. Kiamehr, M. Tahoori Impact of Instruction Delay on Processor Wearout proceedings of the Workshop on Processor Verification, Test and Debug (IWPVTD), , Annecy, France, 2012. |
Year 2011
Journals | |
---|---|
M. Tahoori Variation and defect tolerance for diode-based nano crossbars Elsevier Nano Communication Networks Journal, 2011. |
Conferences | |
---|---|
J. Henkel, L. Bauer, J. Becker, O. Bringmann, U. Brinkschulte, S. Chakraborty, M. Engel, R. Ernst, H. Härtig, L. Hedrich, A. Herkersdorf, R. Kapitza, D. Lohmann, P. Marwedel, M. Platzner, W. Rosenstiel, U. Schlichtmann, O. Spinczyk, M. Tahoori, J. Teich, N. Wehn, H. J. Wunderlich Design and architectures for dependable embedded systems proceedings of CODES+ISSS, 2011. | |
S. Kiamehr, A. Amouri, and M. Tahoori Investigation of NBTI and PBTI Induced Aging in Different LUT Implementations proceedings of the IEEE international conference on Field Programmable Technology (FPT), 2011. | |
F. Oboril, M. Tahoori, V. Heuveline, D. Lukarski, and J. P. Weiss Numerical Defect Correction as an Algorithm-Based Fault Tolerance Technique for Iterative Solvers proceedings of the 17th IEEE Pacific Rim International Symposium on Dependable Computing (PRDC), 2011. | |
M. Zamani, and M. Tahoori Online Missing/Repeating Gate Faults Detection in Reversible Circuits proceedings of IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (DFTS), 2011. | |
F. Firouzi, S. Kiamehr, and M. Tahoori Modeling and Estimation of Power Supply Noise using Linear Programming proceedings of IEEE/ACM International Conference on Computer Aided Design (ICCAD), 2011. | |
M. Fazeli, S. G. Miremadi, H. Asadi, M. Tahoori Soft Error Rate Estimation of Digital Circuits in the Presence of Multiple Event Transients (METs) Design Automation and Test in Europe (DATE), 2011. | |
S. Kiamehr, F. Firouzi, and M. Tahoori Stacking-based Input Reordering for NBTI Aging Reduction Proceedings of Zuverlässigkeit und Entwurf (ZuE), 2011. | |
F. Oboril, M. Tahoori ExtraTime: A Framework for Exploration of Clock and Power Gating for BTI and HCI Aging Mitigation Proceedings of Zuverlässigkeit und Entwurf (ZuE), 2011. Best Paper Award | |
L. Chen, F. Firouzi, S. Kiamehr, M. Tahoori Fast and Accurate Soft Error Rate Estimation at RTL level Proceedings of Zuverlässigkeit und Entwurf (ZuE), 2011. | |
A. Amouri, M. Tahoori A Low-Cost Sensor for Aging and Late Transitions Detection in Modern FPGAs Proceedings of the IEEE International Conference on Field Programmable Logic and Applications (FPL), 2011. | |
M. Zamani, M. Tahoori Self-Timed Nano-PLA In IEEE/ACM International Symbosium on Nanoscale Architectures (NANOARCH), 2011. | |
M. Zamani, N. Farazmand, M. Tahoori Fault Masking and Diagnosis in Reversible Circuits In European Test Symposium (ETS), 2011. | |
M. Zamani, M. Tahoori Variation-Immune QDI Implementation on Nano-Crossbar Arrays In ACM Great Lakes Symposium on VLSI (GLSVLSI), 2011. | |
F. Firouzi, S. Kiamehr, M. Tahoori A Linear Programming Approach for Minimum NBTI Vector Selection In ACM Great Lakes Symposium on VLSI (GLSVLSI), 2011. | |
M. Zamani, M. Tahoori Variation-aware Logic Mapping for Crossbar Nano-architectures In IEEE 16th Asia and South Pacific Design Automation Conference (ASP-DAC), 2011. |
Workshop | |
---|---|
M. Zamani, M. Tahoori Variation Tolerance for Nano-PLA Architectures In North Atlantic Test Workshop (NATW), 2011. |
Year 2010
Journals | |
---|---|
M. Tahoori High Resolution Application Specific Fault Diagnosis of FPGAs IEEE Transactions on VLSI (TVLSI), 2010. | |
H. Asadi, M. Tahoori Soft Error Modeling and Remediation Techniques in ASIC Designs Elsevier Microelectronics Journal, 2010. |
Conferences | |
---|---|
N. Farazmand, M. Zamani, M. Tahoori Online fault testing of reversible logic using dual rail coding n International Online Testing Symposium (IOLTS), 2010. | |
M. Fazeli, S. G. Miremadi, H. Asadi, M. Tahoori A Fast Analytical Approach to Multi-Cycle Soft Error Rate Estimation of Sequential Circuits Euromicro Conference on Digital System Design (DSD), 2010. | |
M. Zamani, N. Farazmand, M. Tahoori Online Multiple Fault Detection in Reversible Circuits In International Symposium on Defect and Fault Tolerance of VLSI (DFTS), 2010. | |
M. Abdul-aziz, M. Tahoori Soft Error Reliability Aware Placement and Routing for FPGAs International Test Conference (ITC), 2010. | |
N. Farazmand, M. Tahoori Multiple Fault Diagnosis in Crossbar Nano-architectures In European Test Symposium (ETS), 2010. | |
M. Zamani, M. Tahoori A Transient Error Tolerant Self-Timed Asynchronous Architecture In European Test Symposium (ETS), 2010. | |
C. Tunc, M. Tahoori On-the-fly Variation Tolerant Mapping in Crossbar Nano-Architectures In IEEE VLSI Test Symposium (VTS), 2010. | |
C. Tunc, M. Tahoori Variation Tolerant Logic Mapping for Crossbar Array Nano Architectures in IEEE Asian South Pasific Design Automation Conference (ASP-DAC), 2010. best paper nomination |
Year 2009
Journals | |
---|---|
M. Tahoori, H. Asadi, B. Mullins, D. Kaeli Obtaining FPGA Soft Error Rate in High Performance Information Systems in Elsevier Journal of Microelectronics Reliability (Volume 49, Issue 5), May 2009. | |
M. Tahoori, S. Shazli Using Boolean Satisfiability for Computing Soft Error Rates in Early Design Stages in Elsevier Journal of Microelectronics Reliability, 2009. | |
M. Tahoori Low Overhead Defect Tolerance in Crossbar Nano-architectures in ACM Journal of Emerging Technologies in Computing (JETC; Volume 5, Issue 2), 2009. |
Conferences | |
---|---|
N. Farazmand, M. Tahoori Online Multiple Error Detection in Crossbar Nano-architectures in IEEE International Conference on Computer Design (ICCD), 2009. | |
A. Abdi, M. Tahoori, E. Emamian Identification of Critical Molecules Via Fault Diagnosis Engineering in International Conference of IEEE Engineering in Medicine and Biology Society (EMBC), 2009. | |
S. Shazli, M. Tahoori Soft error rate computation in early design stages using boolean satisfiability in ACM Great Lakes Symposium on VLSI (GLSVLSI), 2009. | |
M. Tahoori BISM: built-in self map for hybrid crossbar nano-architectures in ACM Great Lakes Symposium on VLSI (GLSVLSI)i, 2009. | |
N. Farazmand, M. Tahoori Online Detection of Multiple Faults in Crossbar Nano-architectures Using Dual Rail Implementations in IEEE International Symposium on Design and Test of Defect-Tolerant Nanoscale Architectures (NANOARCH), 2009. | |
S. Shazli, M. Tahoori Transient Error Detection and Recovery in Processor Pipelines in 24th IEEE International Symposium on Defect and Fault Tolerant in VLSI Systems (DFT), 2009. |
Workshop | |
---|---|
S. Shazli, M. Tahoori Modeling Availability and Performability in High Performance Information Systems in North Atlantic Test Workshop (NATW), 2009. |
Year 2024
Journals | |
---|---|
Soyed Tuhin Ahmed, M Mayahinia, M Hefenbrock, C Münch, MB Tahoori Design-Time Reference Current Generation for Robust Spintronic-Based Neuromorphic Architecture in ACM Journal on Emerging Technologies in Computing Systems 20 (JETC), 2024. | |
Soyed Tuhin Ahmed, Mehdi B. Tahoori One-Shot Online Testing of Deep Neural Networks Based on Distribution Shift Detection in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2024. |
Conferences | |
---|---|
B. Sapui, S. Meschkov and M. B. Tahoori Side-Channel Attack with Fault Analysis on Memristor-based Computation-in-Memory in IEEE 30th International Symposium on On-Line Testing and Robust System Design (IOLTS), Rennes, France, DOI, PDF, 03 - 05 July 2024. | |
F. Afentaki, M. Hefenbrock, G. Zervakis, M. B. Tahoori, Embedding Hardware Approximations in Discrete Genetic-based Training for Printed MLPs in IEEE Design Automation & Test in Europe 2024 Conference (DATE’24), Valencia, Spain, 2024. | |
Priyanjana Pal♣, Haibin Zhao♣, Maha Shatta♣, Michael Hefenbrock, Sina Bakhtavari Mamaghani, Sani Nassif, Michael Beigl, Mehdi B. Tahoori Analog Printed Spiking Neuromorphic Circuit in 27th Design, Automation and Test in Europe Conference (DATE'24), 2024. | |
Giorgos Armeniakos, Paula L Duarte, Priyanjana Pal, Georgios Zervakis, Mehdi B Tahoori, Dimitrios Soudris On-sensor Printed Machine Learning Classification via Bespoke ADC and Decision Tree Co-Design in 27th Design, Automation and Test in Europe Conference (DATE'24), 2024. | |
M. Sadeghipourrudsari, J. Krautter, M. Tahoori OTFGEncoder-HDC: Hardware-efficient Encoding Techniques for HyperdimensionalComputing in Proceedings of Design, Automation & Test in Europe (DATE), Spain, Mar 2024. | |
S. M. Ghasemi, S. Meschkov, J. Krautter, D. R. E. Gnad and M. Tahoori In-field Detection of Small Delay Defects and Runtime Degradation using On-Chip Sensors in Design, Automation and Test in Europe Conference (DATE'24), Valencia, Spain, 2024. | |
S. M. Ghasemi, J. Krautter, T. Gheshlaghi, S. Meschkov, D. R. E. Gnad and M. Tahoori Degradation Monitoring Through Software-controlled On-chip Sensors for RISC-V in IEEE European Test Symposium (ETS), Hague, Netherlands, 2024. | |
Soyed Tuhin Ahmed*, Surendra Hemaram*, Mehdi B. Tahoori Embedding Neural Network Parameters with Self Error Correcting Coding using Multi-task Learning in 42nd VLSI Test Symposium (VTS), 2024. | |
Soyed Tuhin Ahmed, K Danouchi, G Prenat, L Anghel, MB Tahoori NeuSpin: Design of a Reliable Edge Neuromorphic System Based on Spintronics for Green AI in Design, Automation and Test in Europe (DATE), 2024. | |
ST Ahmed, K Danouchi, G Prenat, L Anghel, MB Tahoori Enhancing Reliability of Neural Networks at the Edge: Inverted Normalization with Stochastic Affine Transformations in Design, Automation and Test in Europe (DATE), 2024. | |
Sina Bakhtavari Mamaghani, Priyanjana Pal, Mehdi Baradaran Tahoori A Dynamic Testing Scheme for Resistive-Based Computation-In-Memory Architectures in 29th Asia and South Pacific Design Automation Conference, ASP-DAC, 2024. |
arXiv | |
---|---|
Soyed Tuhin Ahmed, K Danouchi, G Prenat, L Anghel, MB Tahoori Concurrent Self-testing of Neural Networks Using Uncertainty Fingerprint arXiv preprint arXiv:2401.01458, 2024. | |
Soyed Tuhin Ahmed, K Danouchi, G Prenat, L Anghel, MB Tahoori Testing Spintronics Implemented Monte Carlo Dropout-Based Bayesian Neural Networks arXiv preprint arXiv:2401.04744, 2024. |
PhD-Forum | |
---|---|
Soyed Tuhin Ahmed Scalable and Efficient Methods for Uncertainty Estimation and Reduction in Deep Learning in Design, Automation and Test in Europe (DATE), 2024. |
Year 2023
Journals | |
---|---|
S. Meschkov, D. R. E. Gnad, J. Krautter and M. B. Tahoori New Approaches of Side-Channel Attacks Based on Chip Testing Methods in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (Volume 42, Issue 5), DOI, PDF, 2023. | |
Schoos, K., Meschkov, S., Tahoori, M. B., & Gnad, D. R. E. JitSCA: Jitter-based Side-Channel Analysis in Picoscale Resolution in IACR Transactions on Cryptographic Hardware and Embedded Systems (Volume 2023, Issue 3), DOI, PDF, 2023. | |
Soyed Tuhin Ahmed and Mehdi B. Tahoori Fault-tolerant Neuromorphic Computing with Memristors Using Functional ATPG for Efficient Re-calibration in IEEE Design & Test, 2023. [Revised Publication for Top Picks from the 40th IEEE VTS 2022] | |
Vincent Meyers, Dennis Gnad, Mehdi Tahoori Active and Passive Physical Attacks on Neural Network Accelerators in IEEE Design & Test, 2023. | |
Ahmed, Soyed Tuhin; Danouchi, Kamal; Hefenbrock, Michael; Prenat, Guillaume; Anghel, Lorena; Tahoori, Mehdi B. Algorithm-Hardware Co-Design for Uncertainty Estimation on Spintronic-Based Architectures in ACM Transactions on Embedded Computing System (EsWeek Special Issue), 2023. | |
Soyed Tuhin Ahmed , Kamal Danouchi, Christopher Münch, Guillaume Prenat, Lorena Anghel, Mehdi B. Tahoori SpinDrop: Dropout-Based Bayesian Binary Neural Networks with spintronic Implementation in IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 2023. |
Conferences | |
---|---|
Haibin Zhao♣; Priyanjana Pal♣, Michael Hefenbrock, Michael Beigl, Mehdi B Tahoori Towards Temporal Information Processing – Printed Neuromorphic Circuits with Learnable Filters in 18th ACM International Symposium on Nanoscale Architectures (NANOARCH 2023), Dresden, Deutschland, 2023. | |
Haibin Zhao♣; Priyanjana Pal♣; Michael Hefenbrock; Michael Beigl; Mehdi B. Tahoori Power-Aware Training for Energy-Efficient Printed Neuromorphic Circuits in IEEE/ACM International Conference on Computer Aided Design (ICCAD), 2023. | |
N. Muller, S. Meschkov, D. R. E. Gnad, M. B. Tahoori and A. Moradi Automated Masking of FPGA-Mapped Designs in 33rd International Conference on Field-Programmable Logic and Applications (FPL), Gothenburg, Sweden, DOI, PDF, 04 - 08 Sept 2023. | |
J. Krautter, P. Genssler, G. Sepanta, H. Amrouch, M. Tahoori Stress-resiliency of AI implementations on FPGAs in 33rd International Conference on Field-Programmable Logic and Applications (FPL), 2023. | |
M. Mayahinia, M. Tahoori, G. Tshagharyan, G. Harutyunyan, Y. Zorian On-chip Electromigration Sensor for Silicon Lifecycle Management of Nanoscale VLS in Design, Automation and Test in Europe (DATE), Belgium, 2023. | |
M. Mayahinia, H. Liu, S. Mishra, Z. Tokei, F. Catthoor, M. Tahoori Electromigration-aware design technology co-optimization for SRAM in advanced technology nodes in Design, Automation and Test in Europe (DATE), Belgium, 2023. | |
M. Gross, J. Krautter, D. Gnad, M. Gruber, G. Sigl, M. Tahoori FPGANeedle: Precise Remote Fault Attacks from FPGA to CPU in 28th Asia and South Pacific Design Automation Conference (ASP-DAC), 2023. | |
F. Afentaki, G. Saglam, A. Kokkinis, K. Siozios, G. Zervakis and M. B. Tahoori Bespoke Approximation of Multiplication-Accumulation and Activation Targeting Printed Multilayer Perceptrons in IEEE/ACM International Conference on Computer Aided Design (ICCAD), San Francisco, CA, USA, 2023. | |
S. M. Ghasemi, S. Meschkov, J. Krautter, D. R. E. Gnad and M. Tahoori Enabling In-field Parametric Testing for RISC-V Cores in International Test Conference (ITC), Anaheim, USA, 2023. | |
S. M. Ghasemi, S. Meschkov, J. Krautter, D. R. E. Gnad and M. Tahoori SLM ISA and Hardware Extensions for RISC-V Processors in IEEE International Symposium on On-Line Testing and Robust System Design (IOLTS), Crete, Greece, 2023. | |
Sapui, B., Krautter, J., Mayahinia, M., Jafari, A., Gnad D., Meschkov, S., Tahoori, M. B. Power Side-Channel Attacks and Countermeasures on Computation-in-Memory Architectures and Technologies in /2023 IEEE European Test Symposium (ETS)/, 2023. (Best paper nomination) | |
Zhao, H., Sapui, B., Hefenbrock, M., Yang, Z., Beigl, M., & Tahoori, M. B. Highly-Bespoke Robust Printed Neuromorphic Circuits in /2023 Design, Automation and Test in Europe Conference & Exhibition (DATE)/, 2023. | |
Soyed Tuhin Ahmed, Roman Rakhmatullin, Mehdi B. Tahoori Online Fault-Tolerance for Memristive Neuromorphic Fabric Based on Local Approximation in 28th IEEE European Test Symposium, 2023. | |
Soyed Tuhin Ahmed, Kamal Danouchi, Michael Hefenbrock, Guillaume Prenat, Lorena Anghel, Mehdi B. Tahoori Scalable Spintronics-based Bayesian Neural Network for Uncertainty Estimation in Design, Automation and Test in Europe Conference, 2023. |
arXiv | |
---|---|
Ahmed, Soyed Tuhin, Mehdi B. One-Shot Online Testing of Deep Neural Networks Based on Distribution Shift Detection arXiv preprint arXiv:2305.09348, 2023. | |
Ahmed, Soyed Tuhin; Danouchi, Kamal; Hefenbrock, Michael; Prenat, Guillaume; Anghel, Lorena; Tahoori, Mehdi B. Spatial-SpinDrop: Spatial Dropout-based Binary Bayesian Neural Network with Spintronics Implementation arXiv preprint arXiv:2306.10185, 2023. |
PhD-Forum | |
---|---|
Soyed Tuhin Ahmed Reliable Memristive Neuromorphic In-Memory Computing: An Algorithm-Hardware Co-Design Approach in Design, Automation and Test in Europe Conference, 2023. |
Year 2022
Journals | |
---|---|
A. T. Erozan, M. Hefenbrock, D. Gnad, M. Beigl, J. Aghassi-Hagmann, M. Tahoori Counterfeit Detection and Prevention in Additive Manufacturing based on Unique Identification of Optical Fingerprints of Printed Structures in IEEE Access, 2022. | |
Soyed Tuhin Ahmed, Michael Hefenbrock, Christopher Münch, Mehdi B. Tahoori NeuroScrub+: Mitigating Retention Faults Using Flexible Approximate Scrubbing in Neuromorphic Fabric Based on Resistive Memories in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2022. | |
J. Krautter, D. R. E. Gnad, M. B. Tahoori Remote Fault Attacks in Multi-Tenant Cloud FPGAs in IEEE Design & Test, 2022. (Revised Publication for Top Picks in Hardware Security 2020) | |
M. Mayahinia, M. Tahoori, M.P. Komalan, H. Zahedmanesh, K. Croes, T. Marinelli, J.I. Gómez Pérez, T. Evenblij, G. Sankar Kar, F. Catthoor Time-dependent electromigration modeling for workload-aware design space exploration in STT-MRAM in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2022. | |
M. Mayahinia, A. Singh, C. Bengel, S. Wiefels, M.A. Lebdeh, S. Menzel, D.k.J. Wouters, A. Gebregiorgis, R. Bishnoi, R. Joshi, S. Hamdioui A Voltage-Controlled Oscillation-Based ADC Design for Computation-in-Memory Architectures Using Emerging ReRAMs in ACM Journal on Emerging Technologies in Computing Systems (JETC), 2022. |
Conferences | |
---|---|
M. Mayahinia, M. Tahoori, G. Harutyunyan, G. Tshagharyan, K. Amirkhanyan Analyzing the Electromigration Challenges of Computation in Resistive Memories in International Test Conference (ITC), 2022. | |
M. Mayahinia, M. Tahoori, M. Perumkunnil, K. Croes, F. Catthoor Analyzing the Electromigration Challenges of Computation in Resistive Memories in International Test Conference (ITC), 2022. | |
Soyed Tuhin Ahmed, Kamal Danouchi, Christopher Münch, Guillaume Prenat, Lorena Anghel, Mehdi B Tahoori Binary Bayesian Neural Networks for Efficient Uncertainty Estimation Leveraging Inherent Stochasticity of Spintronic Devices IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH), 2022. | |
D. Gnad, J. Hu, M. Tahoori Breaking an FPGA-integrated NIST SP 800-193 compliant TRNG Hard-IP core with on-chip voltage-based fault attacks in International Conference on Field Programmable Logic and Applications (FPL), United Kingdom, 2022. | |
M. Fieback, C. Münch, A. Grebegiorgis, G. Cardoso Medeiros, M. Taouil, S. Hamdioui, M. Tahoori PVT Analysis for RRAM and STT-MRAM-based Logic Computation-in-Memory in Proceedings of the European Test Symposium (ETS), 2022. (Best Paper Candidate) | |
J. Krautter, M. Mayahinia, D. R. E. Gnad, M. B. Tahoori Data Leakage through Self-Terminated Write Schemes in Memristive Caches in 27th Asia and South Pacific Design Automation Conference (ASP-DAC), 2022. | |
M. Mayahinia, A. Jafari, M. Tahoori Voltage Tuning for Reliable computation in Emerging Resistive Memories in 40th VLSI Test Symposium (VTS), 2022. | |
C. Münch, J. Yun, M. Keim, M. B. Tahoori MBIST-based Trim-Search Test Time Reduction for STT-MRAM in proceedings of VLSI Test Symposium (VTS), 2022, USA, 2022. | |
A. Gebregiorgis, L. Wu, C. Münch, S. Rao, M. B. Tahoori, S.Hamdioui STT-MRAMs: Technology, Design and Test in proceedings of VLSI Test Symposium (VTS), 2022, USA, 2022. (Invited Paper) | |
Vincent Meyers, Dennis Gnad, Mehdi Tahoori Reverse Engineering Neural Network Folding with Remote FPGA Power Analysis in IEEE 30th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), 2022. | |
Soyed Tuhin Ahmed, Mehdi B. Tahoori Compact Functional Test Generation for Memristive Deep Learning Implementations using Approximate Gradient Ranking IEEE International Test Conference (ITC), 2022. | |
Soyed Tuhin Ahmed, Mahta Mayahinia, Michael Hefenbrock, Christopher Münch, and Mehdi B. Tahoori Process and Runtime Variation Robustness for Spintronic-Based Neuromorphic Fabric IEEE European Test Symposium (ETS), 2022. | |
Soyed Tuhin Ahmed, Mehdi B. Tahoori Fault-tolerant Neuromorphic Computing with Functional ATPG for Post-manufacturing Re-calibration 40th VLSI Test Symposium (VTS), 2022. |
Other | |
---|---|
Ahmed, Soyed Tuhin; Danouchi, Kamal; Münch, Christopher; Prenat, Guillaume; Anghel, Lorena; Tahoori, Mehdi B. SpinDrop: Dropout-Based Bayesian Binary Neural Networks with spintronic Implementation TechRxiv, DOI, 2022. |
Year 2021
Journals | |
---|---|
D. D. Weller, M. Hefenbrock, Michael Beigl, and Mehdi B. Tahoori Fast and Efficient High-Sigma Yield Analysis and Optimization using Kernel Density Estimation on a Bayesian Optimized Failure Rate Model in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2021. | |
D. D. Weller, M. Hefenbrock, M. Beigl, J. Aghassi-Hagmann, and M. B. Tahoori Realization and training of an inverter-based printed neuromorphic computing system n Nature Scientific Reports, 2021. | |
Farhan Rasheed, Manuel Rommel, Gabriel Cadilha Marques, Wolfgang Wenzel, Mehdi B Tahoori, Jasmin Aghassi-Hagmann Channel Geometry Scaling Effect in Printed Inorganic Electrolyte-Gated Transistors IEEE Transactions on Electron Devices 68 (4), 1866-1871, 2021. | |
F Schellenberg, DRE Gnad, A Moradi, MB Tahoori An inside job: Remote power analysis attacks on FPGAs IEEE Design and Test, 2021. | |
Xiaowei Feng, Surya Abhishek Singaraju, Hongrong Hu, Gabriel Cadilha Marques, Tongtong Fu, Peter Baumgartner, Daniel Secker, Mehdi B Tahoori, Jasmin Aghassi-Hagmann Low-Frequency Noise Characteristics of Inkjet-Printed Electrolyte-Gated Thin-Film Transistors IEEE Electron Device Letters 42 (6), 843-846, 2021. | |
S. Nair, M. Mayahinia, M. B Tahoori, M. Perumkunnil, H. Zahedmanesh, K. Croes, K. Garello, T. Marinelli, T. Evenblij, G. Sankar Kar, F. Catthoor Workload-aware Electromigration Analysis in Emerging Spintronic Memory Arrays IEEE Transactions on Device and Materials Reliability (TDMR), 2021. | |
D. RE. Gnad, C. D. K. Nguyen, S. H. Gillani, M. B. Tahoori Voltage-Based Covert Channels Using FPGAs ACM Transactions on Design Automation of Electronic Systems (TODAES), 2021. |
Conferences | |
---|---|
D. D. Weller, Nathaniel Bleier, Michael Hefenbrock, Jasmin Aghassi-Hagmann, Michael Beigl, Rakesh Kumar and Mehdi Tahoori Printed Stochastic Computing Neural Networks in proceedings of Design, Automation & Test in Europe (DATE), 2021. | |
J. Krautter, D. R. E. Gnad, M. B. Tahoori Remote and Stealthy Fault Attacks on Virtualized FPGAs in Proceedings of Design, Automation & Test in Europe (DATE), 2021. | |
D. R. E. Gnad, V. Meyers, N. M. Dang, F. Schellenberg, A. Moradi, M. B. Tahoori Stealthy Logic Misuse for Power Analysis Attacks in Multi-Tenant FPGAs in Proceedings of Design, Automation & Test in Europe (DATE), 2021. Invited Paper | |
C. Münch, M. Tahoori Testing Resistive Memory Based Neuromorphic Architectures Using Reference Trimming in Proceedings of Design, Automation & Test in Europe (DATE), 2021. | |
C. Münch, J. Yun, M. Keim, M. Tahoori MBIST–supported Trim Adjustment to Compensate Thermal Behavior of MRAM in Proceedings of the European Test Symposium (ETS), 2021. Best Paper Candidate | |
S. T. Ahmed, M. Hefenbrock, C. Münch, M. Tahoori NeuroScrub: Mitigating Retention Failures Using Approximate Scrubbing in Neuromorphic Fabric Based on Resistive Memories in Proceedings of the European Test Symposium (ETS), 2021. | |
H. Nassar, H. AlZughbi, D. R. E. Gnad, L. Bauer, M. B. Tahoori, J. Henkel LoopBreaker: Disabling Interconnects to Mitigate Voltage-Based Attacks in Multi-Tenant FPGAs in Proceedings of the International Conference on Computer-Aided Design (ICCAD), 2021. | |
S. Meschkov, D. R. E. Gnad, J. Krautter, M. B. Tahoori Is your secure test infrastructure secure enough? in IEEE International Test Conference (ITC), 2021. | |
M.Mayahinia, C. Münch, and Mehdi B. Tahoori Analyzing and Mitigating Sensing Failures in Spintronic-based Computing in Memory in IEEE International Test Conference (ITC), 2021. | |
J. Krautter, M. B. Tahoori Neural Networks as a Side-Channel Countermeasure: Challenges and Opportunities IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2021. (Invited Paper) |
Year 2020
Journals | |
---|---|
D. D. Weller, M. Hefenbrock, Mohammad S. Golanbari, Michael Beigl, Jasmin Aghassi-Hagmann, and Mehdi B. Tahoori Bayesian Optimized Mixture Importance Sampling for High-Sigma Failure Rate Estimation in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2020. | |
D. R. E. Gnad, F. Schellenberg, J. Krautter, A. Moradi and M. B. Tahoori Remote Electrical-level Security Threats to Multi-Tenant FPGAs, in IEEE Design & Test, 2020. | |
A Scholz, L Zimmermann, A Sikora, MB Tahoori, J Aghassi-Hagmann Embedded Analog Physical Unclonable Function System to Extract Reliable and Unique Security Keys in Applied Sciences 10 (3), 759, 2020. | |
GC Marques, AM Sukuramsyah, AA Rus, S Bolat, A Aribia, X Feng, S. Abhishek Singaraju, E. Ramon, Y. Romanyuk, M. Tahoori, J. Aghassi-Hagmann Fabrication and Modeling of pn-Diodes Based on Inkjet Printed Oxide Semiconductors EEE Electron Device Letters 41 (1), 187-190, 2020. | |
A. T. Erozan, G.Y. Wang, R. Bishnoi, J. Aghassi-Hagmann, M. B. Tahoori A Compact Low-Voltage True Random Number Generator based on Inkjet Printing Technology in IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2020. | |
A. T. Erozan, D. D. Weller, F. Rasheed, R. Bishnoi, J. Aghassi-Hagmann, M. B. Tahoori A Novel Printed Look-up Table based Programmable Printed Digital Circuit in IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2020. | |
J. Krautter, D. Gnad, M. Tahoori CPAmap: On the Complexity of Secure FPGA Virtualization, Multi-Tenancy, and Physical Design in IACR Transactions on Cryptographic Hardware and Embedded Systems (TCHES), 2020. | |
A.Vijayan, M. Tahoori, K. Chakrabarty Runtime Identification of Hardware Trojans by Feature Analysis on Gate-level Unstructured Data and Anomaly Detection in ACM Transaction on Design Automation of Electronic Systems (TODAES), 2020. | |
V. Ulianova, F. Rasheed, S. Bolat, G. T. Sevilla, Y. Didenko, X. Feng, I. Shorubalko, D. Bachmann, D. Tatarchuk, M. B. Tahoori, J. Aghassi-Hagmann, Y. E. Romanyuk Fabrication, Characterization and Simulation of Sputtered Pt/In-Ga-Zn-O Schottky Diodes for Low-Frequency Half-Wave Rectifier Circuits in IEEE Access, 2020. | |
F. Neuper, G. C. Marques, S. A. Singaraju, R. Kruk, J. Aghassi-Hagmann, H. Hahn, and B. Breitung ALD-Derived, Low-Density Alumina as Solid Electrolyte in Printed Low-Voltage FETs IEEE Transactions on Electron Devices (TED), 2020. | |
G. C. Marques, A. Birla, A. Arnal, S. Dehm, E. Ramon, M. B. Tahoori, and J. Aghassi-Hagmann Printed Logic Gates Based on Enhancement- and Depletion-Mode Electrolyte-Gated Transistors IEEE Transactions on Electron Devices (TED), vol. 67, no. 8, 2020. | |
S. A. Singaraju, G. C. Marques, P. Gruber, R. Kruk, H. Hahn, B. Breitung, and J. Aghassi-Hagmann Fully Printed Inverters using Metal‐Oxide Semiconductor and Graphene Passives on Flexible Substrates Physica Status Solidi – Rapid Research Letters (RRL), 2000252, 2020. | |
A. T. Erozan, D. Weller, G., Y. Feng, C. Marques, J. Aghassi-Hagmann, M. B. Tahoori A Printed Camouflaged Cell against Reverse Engineering of Printed Electronics Circuits in IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2020. | |
X. Feng, A. Scholz, J. Aghassi-Hagmann, M. Tahoori An Inkjet-printed Full-wave Rectifier for Low Voltage Operation using Electrolyte-gated Indium-Oxide Thin Film Transistors IEEE Transactions on Electron Devices (TED), 2020. | |
N. Sayed, R. Bishnoi, M. Tahoori Approximate Spintronic Memories ACM Journal of Emerging Technologies for Computing (JETC), 2020. | |
W Kang, Y Zhang, W Zhao, M Tahoori, JS Friedman Guest Editorial: SPIN Special Section on Spintronics for In-Memory Processing SPIN, 10(2), 2020. | |
Y Li, X Cheng, C Tan, J Han, Y Zhao, L Wang, T Li, MB Tahoori, X Zeng A Robust Hardened Latch Featuring Tolerance to Double-Node-Upset in 28nm CMOS for Spaceborne Application IEEE Transactions on Circuits and Systems II: Express Briefs 67 (9), 1619-1623, 2020. | |
C Münch, N Sayed, R Bishnoi, M Tahoori A Novel Oscillation-based Reconfigurable In-Memory Computing Scheme with Error-Correction IEEE Transactions on Magnetics,, 2020. | |
P Girard, Y Cheng, A Virazel, W Zhao, R Bishnoi, MB Tahoori A Survey of Test and Reliability Solutions for Magnetic Random Access Memories Proceedings of the IEEE, 2020. | |
M Tahoori, MS Golanbari Cross-Layer Reliability, Energy Efficiency, and Performance Optimization of Near-Threshold Data Paths Journal of Low Power Electronics and Applications 10 (4), 42, 2020. | |
A Gebregirogis, M Tahoori Approximate Learning and Fault-Tolerant Mapping for Energy-Efficient Neuromorphic Systems ACM Transactions on Design Automation of Electronic Systems (TODAES) 26 (3), 2020. | |
A. Scholz , L. Zimmermann , U. Gengenbach , L. Koker , Z. Chen , H. Hahn , A. Sikora , M Tahoori, J. Aghassi-Hagmann Hybrid low-voltage physical unclonable function based on inkjet-printed metal-oxide transistors Nature Communications, 2020. | |
N. Sayed, M. Tahoori Dynamic Behavior Predictions for Fast and Efficient Hybrid STT-MRAM Caches ACM Journal of Emerging Technologies for Computing (JETC), 2020. |
Conferences | |
---|---|
S. Mohanachandran Nair, R. Bishnoi, M.B. Tahoori, H. Zahedmanesh, K. Croes, K. Garello, G. Sankar Kar, and F. Catthoor Physics based modeling of bimodal electromigration failure distributions and variation analysis for VLSI interconnects in International Reliability Physics Symposium (IRPS), 2020, USA, 2020. | |
S. Mohanachandran Nair, R. Bishnoi, and M.B. Tahoori Mitigating Read Failures in STT-MRAM in proceedings of VLSI Test Symposium (VTS), USA, 2020. Best Paper Candidate | |
Rajendra Bishnoi, Lizhou Wu, Moritz Fieback, Christopher Münch, Sarath Mohanachandran Nair, Mehdi Tahoori, Ying Wang, Huawei Li and Said Hamdioui Special Session - Emerging Memristor Based Memory and CIM Architecture: Test, Repair and Yield Analysis in proceedings of VLSI Test Symposium (VTS), USA, 2020. Invited Paper | |
M.B. Tahoori, S. Mohanachandran Nair, R. Bishnoi, L. Torres, G. Partigeon, G. DiPendina, and G. Prenat A Universal Spintronic Technology Based on Multifunctional Standardized Stack in proceedings of Design, Automation & Test in Europe (DATE), France, 2020. | |
S. Mohanachandran Nair, R. Bishnoi, A. Vijayan, and M.B. Tahoori Dynamic Faults based Hardware Trojan Design in STT-MRAM in proceedings of Design, Automation & Test in Europe (DATE), France, 2020. | |
M. Hefenbrock, D. D. Weller, M. Beigl and M.B. Tahoori Fast and Accurate High-Sigma Failure Rate Estimation through Extended Bayesian Optimized Importance Sampling in proceedings of Design, Automation & Test in Europe (DATE), France, 2020. | |
D. D. Weller, M. Hefenbrock, M.B. Tahoori, J. Aghassi-Hagmann, and M. Beigl Programmable Neuromorphic Circuit based on Printed Electrolyte-Gated Transistors in Proceedings of the Asia and South Pacific Design Automation Conference (ASPDAC) China, 2020. | |
C. Münch, R. Bishnoi, and M.B. Tahoori Tolerating Retention Failures in Neuromorphic Fabric based on Emerging Resistive Memories Asia and South Pacific Design Automation Conference (ASP-DAC), 2020, China, 2020. Invited paper | |
S. Mohanachandran Nair, C. Münch, and M. B. Tahoori Defect Characterization and Test Generation for Spintronic-based Compute-In-Memory in Proceedings of the European Test Symposium (ETS), Estonia, 2020. Best Paper Candidate | |
M. Fieback, S. Nagarajan, R. Bishnoi, M. Tahoori, M. Taouil, S. Hamdioui Testing Scouting Logic-Based Computation-in-Memory Architectures in Proceedings of the European Test Symposium (ETS), Estonia, 2020. Best Paper Candidate | |
N. Bleier, M. H. Mubarik, F. Rasheed, J. Aghassi-Hagmann, M. B. Tahoori, and R. Kumar Printed microprocessors In Proceedings of the 47th Annual International Symposium on Computer Architecture (ISCA), 2020. | |
Yan Li, Xiaoyoung Zeng, Zhengqi Gao, Liyu Lin, Jun Tao, Jun Han, Xu Cheng, Mehdi B. Tahoori, Xiaoyang Zeng Exploring a Bayesian Optimization Framework Compatible with Digital Standard Flow for Soft-Error-Tolerant Circuit in Design Automation Conference (DAC), 2020. | |
M. H. Mubarik, D. D. Weller, N. Bleier, M. Tomei, J. Aghassi-Hagmann, M. B. Tahoori, R. Kumar Printed Machine Learning Classifiers in Proceedings of the 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), 2020. Honorable Mention, Top Picks in Computer Architecture 2020 | |
C. Münch and M. B. Tahoori Defect Characterization of Spintronic-based Neuromorphic Circuits International Symposium on On-Line Testing and Robust System Design (IOLTS), Italy, 2020. Invited paper |
Books / Book Chapter | |
---|---|
Baby, T.T., Marques, G.C., Neuper, F., Singaraju, S.A., Garlapati, S., von Seggern, F., Kruk, R., Dasgupta, S., Sykora, B., Breitung, B. and Sukkurji, P.A. Printing technologies for integration of electronic devices and sensors In Functional Nanostructures and Sensors for CBRN Defence and Environmental Safety and Security (pp. 1-34). Springer, Dordrecht, 2020. |
PhD Thesis | |
---|---|
D. R. E. Gnad Remote Attacks on FPGA Hardware Doktorarbeit, Karlsruher Institut für Technologie (KIT), DOI, PDF, 2020. |
Year 2019
Journals | |
---|---|
Golanbari, S. Kiamehr, F. Oboril, A. Gebregiorgis, M.B. Tahoori Achieving Energy Efficiency for Near-Threshold Circuits Through Postfabrication Calibration and Adaptation IEEE Transactions on Very Large Scale Integration (VLSI) Systems (TVLSI), 2019. | |
F. Rasheed, M. Hefenbrock, R. Bishnoi, M. Beigl, J. Aghassi-Hagmann, and M. B Tahoori Crossover-aware placement and routing for inkjet printed circuits Journal on Emerging Technologies in Computing Systems, 2019. | |
J. Jeong, G. C. Marques, X. Feng, D. Boll, S. A. Singaraju, J. Aghassi-Hagmann, H. Horst, B. Breitung Ink-Jet Printable, Self-Assembled, and Chemically Crosslinked Ion-Gel as Electrolyte for Thin Film, Printable Transistors in Advanced Materials Interfaces, 2019. | |
X. Feng, C. Punckt , G. C. Marques, M. Hefenbrock, M. B. Tahoori, and J. Aghassi-Hagmann Non-Quasi-Static Capacitance Modeling and Characterization for Printed Inorganic Electrolyte-gated Transistors in Logic Gates in IEEE Transactions on Electron Devices (TED), 2019. | |
Yuan-Hao Chang, Jingtong Hu, Mehdi B. Tahoori, Ronald F. DeMara Guest Editorial: IEEE Transactions on Computers Special Section on Emerging Non-Volatile Memory Technologies: From Devices to Architectures and Systems IEEE Trans. Computers 68(8): 1111-1113, 2019. | |
L. Zimmermann, A. Scholz, M. B. Tahoori, J. Aghassi-Hagmann, A. Sikora Design and Evaluation of a Printed Analog-Based Differential Physical Unclonable Function in IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2019. | |
R. ElNaggar, K. Chakrabarty and M. B. Tahoori Hardware trojan detection using changepoint-based anomaly detection techniques in IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2019. | |
S. Ben Dodo, R. Bishnoi, M. B. Tahoori Secure STT-MRAM Bit-cell Design Resilient to Differential Power Analysis Attacks in IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2019. | |
S. Ben Dodo, R. Bishnoi, S. Mohanachandran Nair, M. B. Tahoori A Novel Spintronics Memory PUF for Resilience Against Cloning Counterfeit in IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2019. | |
X. Feng, G.C. Marques, F. Rasheed, M. B. Tahoori, and J. Aghassi-Hagmann Impact of intrinsic capacitances on the dynamic performance of printed electrolyte-gated inorganic field effect transistors in IEEE Transactions on Electron Devices (TED), 2019. | |
A. T. Erozan, M. Hefenbrock, M. Beigl, J. Aghassi-Hagmann, and M. B. Tahoori Reverse Engineering of Printed Electronics Circuits: From Imaging to Netlist Extraction in IEEE Transactions on Information Forensics & Security (TIFS), 2019. | |
M.S. Golanbari, S. Kiamehr, M. Ebrahimi, M. B. Tahoori Selective Flip-Flop Optimization for Reliable Digital Circuit Design in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2019. | |
J. Krautter, D. R. E. Gnad, M. B. Tahoori Mitigating Electrical-Level Attacks towards Secure Multi-Tenant FPGAs in the Cloud in ACM Transactions on Reconfigurable Technology and Systems (TRETS), 2019. | |
D. R. E. Gnad, J. Krautter, M. B. Tahoori Leaky Noise: New Side-Channel Attack Vectors in Mixed-Signal IoT Devices in IACR Transactions on Cryptographic Hardware and Embedded Systems (TCHES), 2019. | |
G. C. Marques, F. von Seggern, S. Dehm, B. Breitung, H. Hahn, S. Dasgupta, M. B. Tahoori, and J. Aghassi-Hagmann Influence of Humidity on the Performance of Composite Polymer Electrolyte-Gated Field-Effect Transistors and Circuits IEEE Transactions on Electron Devices (TED), 2019. | |
G.C. Marques, D.Weller, A. T. Erozan, X. Feng, M. Tahoori, and J. Aghassi-Hagmann Progress Report on ‘From printed electrolyte-gated metal-oxide devices to circuits Advanced Materials, 2019. | |
M. Kishani, M.B. Tahoori, and H. Asadi Dependability Analysis of Data Storage Systemsin Presence of Soft Errors in IEEE Transactions on Reliability, 2019. | |
S. Mohanachandran Nair, R. Bishnoi, M. B. Tahoori A Comprehensive Framework for Parametric Failure Modeling and Yield Analysis of STT-MRAM in IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2019. | |
N. Sayed, R. Bishnoi, and M.B. Tahoori Fast and Reliable STT-MRAM Using Non-uniform and Adaptive Error Detecting and Correcting Scheme in IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2019. | |
N. Sayed, L. Mao, R. Bishnoi and M. B. Tahoori Compiler-Assisted and Profiling-Based Analysis for Fast and Efficient STT-MRAM On-Chip Cache Design in ACM Transaction on Design Automation of Electronic Systems (TODAES), 2019. | |
A. Gebregiorgis, and M.B. Tahoori Testing of Neuromorphic Circuits: Structural Vs Functional In Proceedings of International Test Conference (ITC), USA, 2019. |
Conferences | |
---|---|
S. Mohanachandran Nair, R. Bishnoi, M.B. Tahoori, H.Grigoryan, and G.Tshagharyan Variation-Aware Fault Modeling and Test Generation for STT-MRAM in proceedings of the 25th IEEE International Symposium on On-Line Testing and Robust System Design (IOLTS), Greece, 2019. Invited Paper | |
J. Krautter, D. R. E. Gnad, F. Schellenberg, A. Moradi, and M. B. Tahoori Active Fences against Voltage-based Side Channels in Multi-Tenant FPGAs in Proceedings of the International Conference on Computer-Aided Design (ICCAD), USA, 2019. | |
S. Mohanachandran Nair, R. Bishnoi, M.B. Tahoori, H. Zahedmanesh, K. Croes, K. Garello, G. Sankar Kar, and F. Catthoor Variation-aware physics based electromigration modeling and experimental calibration for VLSI interconnects in International Reliability Physics Symposium (IRPS), USA, 2019. | |
C. Münch, R. Bishnoi, and M.B. Tahoori Reliable In-Memory Neuromorphic Computing using Spintronics Asia and South Pacific Design Automation Conference (ASP-DAC), Japan, 2019. Invited paper | |
F. Rasheed, M. Hefenbrock, R. Bishnoi, M. Beigl, J. Aghassi-Hagmann and M.B. Tahoori Predictive Modeling and Design Automation of Inorganic Printed Electronics in proceedings of Design, Automation & Test in Europe (DATE), Italy, 2019. Invited paper | |
D. Weller, M. Hefenbrock, M.S. Golanbari, M. Beigl and M.B. Tahoori Bayesian Optimized Importance Sampling for High Sigma Failure Rate Estimation in proceedings of Design, Automation & Test in Europe (DATE), Italy, 2019. | |
A. Gebregiorgis, and M.B. Tahoori Test Pattern Generation for Approximate Circuits Based on Boolean Satisfiability in proceedings of Design, Automation & Test in Europe (DATE), Italy, 2019. | |
A. T. Erozan, R. Bishnoi, J. Aghassi-Hagmann and M.B. Tahoori Inkjet Printed True Random Number Generator based on Additive Resistor Tuning in proceedings of Design, Automation & Test in Europe (DATE), Italy, 2019. |
Books / Book Chapter | |
---|---|
S Tan, M Tahoori, T Kim, S Wang, Z Sun, S Kiamehr Long-Term Reliability of Nanometer VLSI Systems Modeling, Analysis and Optimization Springer, 2019. | |
Vijayan, Arunkumar, Krishnendu Chakrabarty, and Mehdi B. Tahoori Machine Learning-Based Aging Analysis. Machine Learning in VLSI Computer-Aided Design. Springer, Cham, 265-289, 2019. |
Other | |
---|---|
S. Hellebrand and M. Tahoori Proceedings of IEEE European Test Symposium, edited by S. Hellebrand and M. Tahoori , 2019. | |
F. Schellenberg, D. R. E. Gnad, A. Moradi, M. B. Tahoori An Inside Job: Remote Power Analysis Attacks on FPGAs Presentation in the "Top Picks in Hardware Security" Workshop (co-located with ICCAD'19) on this DATE'18 paper, 2019. | |
J. Krautter, D. R. E. Gnad, F. Schellenberg, A. Moradi, M. B. Tahoori Software-based Fault and Power Side-Channel Attacks inside Multi-Tenant FPGAs IEEE International Symposium on Hardware Oriented Security and Trust (HOST), USA, 2019. Demo Session, (BEST Hardware Demo Award, Third Place) |
Year 2018
Patents | |
---|---|
R. Bishnoi, C. Münch, M.B. Tahoori Multi-Bit Non-Volatile Flip-Flop EU Patent No: 18000262.8, 2018. | |
M.S. Golanbari, S. Kiamehr, R. Bishnoi, M. B. Tahoori Reliable Low-Power Memory-Based PUF Architecture EU Patent No: 18000240.4, 2018. |
Journals | |
---|---|
F. Rasheed, M. Hefenbrock, M. Beigl, M. Tahoori and J. Aghassi-Hagmann Variability Modeling for Printed Inorganic Electrolyte-Gated Transistors and Circuits in Special Issue of IEEE Transactions on Electron Devices (TED), 2018. | |
A. T. Erozan, G.C. Marques, M.S. Golanbari, R. Bishnoi, S. Dehm, J. Aghassi-Hagmann, M. B. Tahoori Inkjet Printed EGFET-based Physical Unclonable Function - Design, Evaluation, and Fabrication in IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2018. | |
J. Krautter, D. R. E. Gnad, M. B. Tahoori FPGAhammer: Remote Voltage Fault Attacks on Shared FPGAs, suitable for DFA on AES IACR Transactions on Cryptographic Hardware and Embedded Systems (TCHES), 2018. CSAW'18 Finalist | |
S. Wang, K. Chakrabarty, and M. B. Tahoori Defect Clustering-Aware Spare-TSV Allocation in 3D ICs for Yield Enhancement in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2018. | |
D. R. E. Gnad, F. Oboril, S. Kiamehr, M. B. Tahoori An Experimental Evaluation and Analysis of Transient Voltage Fluctuations in FPGAs in IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2018. | |
D. Weller, G. C. Marques, J. Aghassi-Hagmann, and M.B. Tahoori An Inkjet Printed Low-Voltage Latch based on Inorganic Electrolyte-Gated Transistors in Electron Device Letters, IEEE, 2018. | |
S. K. Garlapati, G. C. Marques, J. S. Gebauer, S. Dehm, M. Bruns, M. Winterer, M. B. Tahoori, J. Aghassi-Hagmann, H. Hahn and S. Dasgupta High performance printed oxide field-effect transistors processed using photonic curing Nanotechnology, vol. 29, no. 23, pp. 235205, 2018. | |
A. Gebregiorgis, R. Bishnoi, and M.B. Tahoori A Comprehensive Reliability Analysis Framework for NTC Caches: A System to Device Approach in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2018. | |
S. Wang, R. Wang, K. Chakrabarty, and M. B. Tahoori Multicast Testing of Interposer-Based 2.5D ICs: Test-Architecture Design and Test Scheduling in ACM Transaction on Design Automation of Electronic Systems (TODAES), 2018. | |
F. Rasheed, M. S. Golanbari, G. C. Marques, M. Tahoori and J. Aghassi-Hagmann A Smooth EKV-based DC Model for Accurate Simulation of Printed Transistors and their Process Variations in IEEE Transactions on Electron Devices (TED), 2018. | |
A. Vijayan, S. Kiamehr, F. Oboril, K. Chakrabarty, and M.B. Tahoori Workload-aware Static Aging Monitoring and Mitigation of Timing-critical Flip-flops in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2018. |
Conferences | |
---|---|
F. Schellenberg, D. R. E. Gnad, A. Moradi, M. B. Tahoori Remote Inter-Chip Power Analysis Side-Channel Attacks at Board-Level in Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD), USA, 2018. | |
F. Schellenberg, D. R. E. Gnad, A. Moradi, M. B. Tahoori An Inside Job: Remote Power Analysis Attacks on FPGAs in Proceedings of Design, Automation & Test in Europe (DATE). Germany, 2018. (Best Paper Candidate, Top Picks in Hardware Security 2019) | |
D. R. E. Gnad, S. Rapp, J. Krautter, and M. B. Tahoori Checking for Electrical Level Security Threats in Bitstreams for Multi-Tenant FPGAs International Conference on Field-Programmable Technology (FPT), Japan, 2018. | |
C. Münch, R. Bishnoi, and M.B. Tahoori Multi-Bit Non-Volatile Spintronic Flip-Flop Proceedings of Design, Automation & Test in Europe (DATE), Germany, 2018. (Best Paper Candidate) |
Books / Book Chapter | |
---|---|
S. Kiamehr, M. Tahoori, L. Anghel Manufacturing Threats In Dependable Multicore Architectures at Nanoscale (pp. 3-35). Springer, Cham, 2018. |
Year 2017
Patents | |
---|---|
R. Bishnoi, F. Oboril, and M.B. Tahoori Magnetic Probe Based Test Methodology for Spintronic Technologies EU Patent No: 17401042.1-1568, 2017. | |
R. Bishnoi, F. Oboril, and M.B. Tahoori Efficient Testing of a Magnetic Memory Circuit EU Patent No: 17001784.2-1203, 2017. |
Journals | |
---|---|
A. Gebregiorgis, and M.B. Tahoori Fine-Grained Energy-Constrained Microprocessor Pipeline Design in IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2017. | |
S. Wang, T. Kim, Z. Sun, S. X.-D. Tan, and M. B. Tahoori Recovery-aware Proactive TSV Repair for Electromigration Lifetime Enhancement in 3D ICs in IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2017. | |
S. Mohanachandran Nair, R. Bishnoi, M. S. Golanbari, F. Oboril, F. Hameed, and M. B. Tahoori VAET-STT: A Variation Aware STT-MRAM Analysis and Design Space Exploration Tool in IEEE Transcactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2017. | |
D. Alexanderscu, M. Altun, L. Anghel, A. Bernasconi, V. Ciriani, L. Frontini, and M.B. Tahoori Logic Synthesis and Testing Techniques for Switching Nano-Crossbar Arrays in Microprocessors and Microsystems, Vol. 54, 2017. | |
G. C. Marques, S. K. Garlapati, S. Dehm, S. Dasgupta, H. Hahn, M. Tahoori, and J. Aghassi-Hagmann Digital power and performance analysis of inkjet printed ring oscillators based on electrolyte-gated oxide electronics Applied Physics Letters (APL), vol. 111, no. 10, pp. 102103, 2017. | |
S. Wang, and M.B. Tahoori Electromigration-aware Local-Via Allocation in Power/Ground TSVs of 3D ICs In IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2017. | |
A. Vijayan, S. Kiamehr, M. Ebrahimi, K. Chakrabarty, and M.B. Tahoori Online Soft-Error Vulnerability Estimation for Memory Arrays and Logic Cores in IEEE Transcactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2017. | |
N. Khoshavi, R. A. Ashraf, R. F. DeMara, S. Kiamehr, F. Oboril, and M.B. Tahoori Contemporary CMOS Aging Mitigation Techniques: Survey, Taxonomy, and Methods in Integration the VLSI journal, 2017. | |
S. Kiamehr, M. Ebrahimi, M. S. Golanbari, and M.B. Tahoori Temperature-aware Dynamic Voltage Scaling to Improve Energy Efficiency of Near-Threshold Computing in IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2017. | |
N. Rohbani, M. Ebrahimi, S.G. Miremadi, and M.B. Tahoori Bias Temperature Instability Mitigation via Adaptive Cache Size Management in IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2017. | |
G. C. Marques, S. K. Garlapati, D. Chatterjee, S. Dehm, S. Dasgupta, J. Aghassi, and M. B. Tahoori Electrolyte-Gated FETs Based on Oxide Semiconductors: Fabrication and Modeling IEEE Transactions on Electron Devices (ED), vol. 64, no. 1, pp. 279-285, 2017. | |
R. Bishnoi, F. Oboril and M.B. Tahoori Design of Defect and Fault Tolerant Non-Volatile Spintronic Flip-Flops in IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2017. | |
A. Vijayan, A. Koneru, S. Kiamehr, K. Chakrabarty, and M.B. Tahoori Fine-Grained Aging-Induced Delay Prediction Based on the Monitoring of Run-Time Stress in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2017. |
Conferences | |
---|---|
K. Iwata, A. Gharehbaghi, M.B. Tahoori, and M. Fujita Post Silicon Debugging of Electrical Bugs Using Trace Buffers in Proceedings of Asian Test Symposium (ATS), 2017, Taiwan, 2017. | |
R. Elnaggar, K. Chakrabarty, and M.B. Tahoori Run-Time Hardware Trojan Detection Using Performance Counters in Proceedings of International Test Conference (ITC), 2017, USA, 2017. | |
S. Wang, Z, Sun, Y, Cheng, S.X.-D Tan, and M. B. Tahoori Leveraging Recovery Effect to Reduce Electromigration Degradation in Power/Ground TSV In Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2017, USA, 2017. Invited Paper | |
D.R.E. Gnad, F. Oboril, M.B. Tahoori Voltage Drop-based Fault Attacks on FPGAs using Valid Bitstreams International Conference on Field-Programmable Logic and Applications (FPL), 2017, Belgium, 2017. Best Paper Award | |
A. Gebregiorgis, and M.B. Tahoori Reliability Analysis and Mitigation of Near Threshold Caches in proceedings of the IEEE International On-Line Testing Symposium (IOLTS), 2017, Greece, 2017. Invited paper | |
M.S. Golanbari, and M.B. Tahoori Design Flows for Resilient Energy Efficient Systems in proceedings of the IEEE International On-Line Testing Symposium (IOLTS), 2017, Greece, 2017. Invited paper | |
S. Mittal, R. Bishnoi, F. Oboril, H. Wang, M.B. Tahoori, A. Jog and J.S. Vetter Architecting SOT-RAM Based GPU Register File in IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2017, Germany, 2017. | |
M.B. Tahoori, S.M. Nair, R. Bishnoi, S. Senni, J. Mohdad, F. Mailly, L. Torres, P. Benoit, P. Nouet, R. Ma, M. Kreißig, F. Ellinger, K. Jabeur, P. Vanhauwaert, G. Di Pendina and G. Prenat GREAT: heteroGeneous integRated magnetic tEchnology using multifunctional standardized sTack in IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2017, Germany, 2017. | |
A. Gebregiorgis, S. Kiamehr, and M.B. Tahoori Error Propagation Aware Timing Relaxation For Approximate Near Threshold Computing in Proceedings of Design Automation Conference (DAC), 2017, USA, 2017. | |
D. Weller, F. Oboril, D. Lukarski, J. Becker, and M.B. Tahoori Energy Efficient Scientific Computing on FPGAs using OpenCL in Proceedings of the ACM/ SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA), 2017, USA, 2017. | |
N. Sayed, F. Oboril, A. Shirvanian, R. Bishnoi, and M.B. and Tahoori Exploiting STT-MRAM for Approximate Computing in proceedings of European Test Symposium (ETS), 2017, Cyprus, 2017. | |
M. S. Golanbari, N. Sayed, M. Ebrahimi, M. H. Moshrefpour Esfahany, S. Kiamehr, and M.B. Tahoori Aging-Aware Coding Scheme for Memory Arrays in proceedings of European Test Symposium (ETS), 2017, Cyprus, 2017. Best Paper Candidate | |
M. Altun, V. Ciriani, and M.B. Tahoori Computing with Nano-Crossbar Arrays: Logic Synthesis and Fault Tolerance in Proceedings of Design, Automation & Test in Europe (DATE), 2017, Switzerland, 2017. | |
S. Kiamehr, MS. Saber Golanbari, and M.B. Tahoori Leveraging Aging Effect to Improve SRAM-based True Random Number Generators in Proceedings of Design, Automation & Test in Europe (DATE), 2017, Switzerland, 2017. | |
N. Sayed, F. Oboril, R. Bishnoi, and M. B. Tahoori Leveraging Systematic Unidirectional Error-Detecting Codes for Fast STT-MRAM Cache in proceedings of VLSI Test Symposium (VTS), 2017, USA, 2017. | |
N. Sayed, M. Ebrahimi, R. Bishnoi, and M. B. Tahoori Opportunistic Write for Fast and Reliable STT-MRAM in Proceedings of Design, Automation & Test in Europe (DATE), 2017, Switzerland, 2017. Invited Paper | |
M. S. Golanbari, S. Kiamehr, F. Oboril, A. Gebregiorgis and M. B. Tahoori Post-Fabrication Calibration of Near-Threshold Circuits for Energy Efficiency in Proceedings of the International Symposium on Quality Electronic Design (ISQED), 2017, USA, 2017. | |
S. Wang, H. Zaho, S.X.-D Tan, and M. B. Tahoori Recovery-aware Proactive TSV Repair for Electromigration in 3D ICs in Proceedings of Design, Automation & Test in Europe (DATE), 2017, Switzerland, 2017. | |
S. Mohanachandran Nair, R. Bishnoi, M. S. Golanbari, F. Oboril, and M. B. Tahoor VAET-STT: A Variation Aware Estimator Tool for STT-MRAM based Memories in Proceedings of Design, Automation & Test in Europe (DATE), 2017, Switzerland, 2017. | |
A. Vijayan, S. Kiamehr, F. Oboril, K. Chakrabarty, and M.B. Tahoori Workload-aware Static Aging Monitoring of Timing Critical Flip-flops in Proceedings of the Asia and South Pacific Design Automation Conference (ASPDAC), 2017, Japan, 2017. Best Paper Candidate |
Books / Book Chapter | |
---|---|
M.B. Tahoori Reliable Design for Crossbar Nano-architectures In Suzuki, Junichi, Nakano, Tadashi, Moore, Michael John “Modeling, Methodologies and Tools for Molecular and Nano-scale Communication”, 2017. |
Year 2016
Patents | |
---|---|
F. Oboril, M. Tahoori, R. Bishnoi Non-Volatile Non-Shadow Flip-Flop EU Patent No: 16000198.8-1805, 2016. (pending) |
Journals | |
---|---|
M. Ebrahimi, H. Asadi, R. Bishnoi, and M.B. Tahoori Layout-based Modeling and Mitigation of Multiple Event Transients in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2016. | |
F. Ye, F. Firouzi, Y. Yang, K. Chakrabarty, and M.B. Tahoori On-chip Droop-induced Circuit Delay Prediction Based on Support-Vector Machines in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2016. | |
R. Bishnoi, M. Ebrahimi, F. Oboril and M.B. Tahoori Improving Write Performance for STT-MRAM IEEE Transactions on Magnetics (TMAG), 2016. | |
A. Amouri, J. Hepp and M.B. Tahoori Built-in Self-Heating Thermal Testing of FPGAs in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2016. | |
G. Prenat, G.D. Pendina, K. Jabeur, P. Vanhauwaert, O. Boulle, M. Miron, G. Gaudin, F. Oboril, R. Bishnoi, M. Ebrahimi, M. Tahoori, K. Garello and P. Gambardella Ultra-Fast and High-Reliability SOT-MRAM: from Cache Replacement to Normally-o Computing in IEEE Transactions on Multi-Scale Computing Systems (TMSCS), 2016. | |
M. Ebrahimi, P.M.B. Rao, R. Seyyedi, M.B. Tahoori Low-cost Multiple Bit Upset Correction in SRAM-based FPGA Configuration Frames in IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2016. | |
L. Chen, M. Ebrahimi, and M.B. Tahoori Reliability-aware Resource Allocation and Binding in High Level Synthesis in ACM Transaction on Design Automation of Electronic Systems (TODAES), 2016. | |
R. Bishnoi, F. Oboril, M. Ebrahimi and M.B. Tahoori Self-timed Read and Write Operations in STT-MRAM in IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2016. |
Conferences | |
---|---|
D. Alexandrescu, M. Altun, L. Anghel, A. Bernasconi, V. Ciriani, L. Frontini and M.B. Tahoori Synthesis and Performance Optimization of a Switching Nano-Crossbar Computer Euromicro Conference on Digital System Design (DSD), 2016. | |
D. R. E. Gnad, F. Oboril, S. Kiamehr and M. B. Tahoori Analysis of Transient Voltage Fluctuations in FPGAs in International Conference on Field-Programmable Technology (FPT), 2016, China, 2016. Best Paper Candidate | |
M. S. Golanbari, S. Kiamehr, and M. B. Tahoori Hold-time Violation Analysis and Fixing in Near-Threshold Region International Workshop on Power And Timing Modeling, Optimization and Simulation (PATMOS), 2016, Germany, 2016. | |
M. S. Golanbari, A. Gebregiorgis, F. Oboril, S. Kiamehr, and M. B. Tahoori A Cross-Layer Approach for Resiliency and Energy Efficiency in Near Threshold Computing in proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2016, USA, 2016. Invited paper | |
S. Wang, R. Wang, K. Chakrabarty, M. B. Tahoori Multicast Test Architecture and Test Scheduling for Interposer-based 2.5D ICs in Asian Test Symposium (ATS), 2016, Japan, 2016. Invited Paper | |
M. Ebrahimi, M. Rashvand, F. Kaddachi, M.B. Tahoori and G.Di Natale Revisiting Software-based Soft Error Mitigation Techniques via Accurate Error Generation and Propagation Models in proceedings of the IEEE International On-Line Testing Symposium (IOLTS), 2016, Spain, 2016. | |
M.B. Tahoori, Rob Aitken, Sriram R. Vangal and Bal Sandhu Test implications and challenges in near threshold computing in proceedings of 34st VLSI Test Symposium (VTS), 2016, USA, 2016. | |
Fabian Oboril, Azadeh Shirvanian and M.B. Tahoori Fault tolerant approximate computing using emerging non-volatile spintronic memories in proceedings of 34st VLSI Test Symposium (VTS), 2016, USA, 2016. | |
A. Gebregiorgis, M. Golanbari, S. Kiamehr, F. Oboril and M.B. Tahoori Maximizing Energy Efficiency in NTC by Variation-Aware Microprocessor Pipeline Optimization International Symposium on Low Power Electronics and Design (ISLPED), 2016, USA, 2016. | |
F. Oboril, F. Hameed, R. Bishnoi, A. Ahari, H. Naeimi and M.B. Tahoori Normally-OFF STT-MRAM Cache with Zero-Byte Compression for Energy Efficient Last-Level Caches International Symposium on Low Power Electronics and Design (ISLPED), 2016, USA, 2016. | |
S. Kiamehr, P. Weckx, M.B. Tahoori, B. Kaczer, H. Kukner, P. Raghavan, G. Groeseneken, F. Catthoor The Impact of Process Variation and Stochastic Aging in Nanoscale VLSI in Proceedings of International Reliability Physics Symposium (IRPS), 2016, USA, 2016. | |
S. Kiamehr, M. Ebrahimi and M.B. Tahoori Temperature-aware Dynamic Voltage Scaling for Near-Threshold Computing in Proceedings of Great Lakes Symposium on VLSI (GLSVLSI), 2016, USA, 2016. | |
R. Bishnoi, F. Oboril and M.B. Tahoori Low-Power Multi-Port Memory Architecture based on Spin Orbit Torque Magnetic Devices in Proceedings of Great Lakes Symposium on VLSI (GLSVLSI), 2016, USA, 2016. | |
Gabriel C. Marques, Suresh K. Garlapati, Simone Dehm, Subho Dasgupta, Jasmin Aghassi and M. B. Tahoori ICompact modeling of inkjet printed, high mobility, electrolyte-gated transistors in 55. Workshop on Microelectronics, IEEE German Section Solid-State Circuit Society, 2016, Germany, 2016. Best Paper Award | |
M. Ebrahimi, M.H. Moshrefpour, M.S. Golanbari, M.B. Tahoori Fault Injection Acceleration by Simultaneous Injection of Non-interacting Faults in Design Automation Conference (DAC), 2016, USA, 2016. | |
M. Ebrahimi, M.B. Tahoori Cross-layer Approaches for Soft Error Modeling and Mitigation in Design Automation Conference (DAC), 2016, USA, 2016. | |
A. Vijayan, A. Koneru, M. Ebrahimi, K. Chakrabarty, and M.B. Tahoori Online Soft-Error Vulnerability Estimation for Memory Arrays in proceedings of 34st VLSI Test Symposium (VTS), 2016, USA, 2016. | |
F. Hameed, M. Tahoori Architecting STT Last-Level-Cache for Performance and Energy Improvement in Proceedings of the International Symposium on Quality Electronic Design (ISQED), 2016, USA, 2016. | |
A. Gebregiorgis, F. Oboril, M.B. Tahoori, and S. Hamdioui Instruction Cache Aging Mitigation Through Instruction Set Encoding in Proceedings of the International Symposium on Quality Electronic Design (ISQED), 2016, USA, 2016. | |
S. Wang, K, Chakrabarty, M.B. Tahoori Thermal-aware TSV Repair for Electromigration in 3D ICs n Proceedings of Design, Automation & Test in Europe (DATE), 2016, Germany, 2016. | |
M.S. Golanbari, S. Kiamehr, M. Ebrahimi, and M.B. Tahoori Variation-aware Near Threshold Circuit Synthesis in Proceedings of Design, Automation & Test in Europe (DATE), 2016, Germany, 2016. | |
A. Gebregiorgis, S. Kiamehr, F. Oboril, R. Bishnoi, and M.B. Tahoori A Cross-Layer Analysis of Soft Error, Aging and Process Variation in Near Threshold Computing in Proceedings of Design, Automation & Test in Europe (DATE), 2016, Germany, 2016. | |
R. Bishnoi, F. Oboril and M.B. Tahoori Fault Tolerant Non-Volatile Spintronic Flip-Flop in Proceedings of Design, Automation & Test in Europe (DATE), 2016, Germany, 2016. | |
R. Bishnoi, F. Oboril and M.B. Tahoori Non-Volatile Non-Shadow Flip-Flop using Spin Orbit Torque for Efficient Normally-off Computing in Proceedings of the Asia and South Pacific Design Automation Conference (ASPDAC), 2016, China, 2016. | |
F. Kaddachi, M. Kooli, G. Di Natale, A. Bosio, M. Ebrahimi, M.B. Tahoori System-level Reliability Evaluation through Cache-aware Software-based Fault Injection IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS), 2016. | |
R. Ashraf, N. Khoshavi, A. Alzahrani, R.F. DeMara, S. Kiamehr, M. Tahoori Area-Energy Tradeoffs of Logic Wear-Leveling for BTI-induced Aging in Proceedings of ACM International Conference on Computing Frontiers, 2016. |
Workshop | |
---|---|
F. Oboril, R. Bishnoi, M. Ebrahimi, M.B. Tahoori, G. Di Pendina, K. Jabeur, and G. Prenat Spin Orbit Torque memory for non-volatile microprocessor caches International Workshop on Emerging Memory Solutions, 2016, Germany, 2016. | |
F. Oboril, and M. B. Tahoori Cross-Layer Approaches for an Aging-Aware Design Space Exploration of Microprocessors Workshop on Early Reliability Modelling for Aging and Variability in Silicon Systems (ERMAVSS), 2016, Germany, 2016. |
Year 2015
Journals | |
---|---|
F. Firouzi, F. Ye, K. Chakrabarty and M.B. Tahoori Aging- and variation-aware delay monitoring using representative critical path selection accepted for publication in ACM Transaction on Design Automation of Electronic Systems (TODAES), 2015. | |
F. Oboril, and M.B. Tahoori Exploiting Instruction Set Encoding for Aging-Aware Microprocessor Design in ACM Transactions on Design Automation of Electronic Systems (TODAES), 2015. | |
M. Ebrahimi, A. Evans, M.B. Tahoori, E. Costenaro, D. Alexandrescu, V. Chandra, R. Seyyedi Comprehensive Analysis of Sequential and Combinational Soft Errors in an Embedded Processor in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2015. | |
F. Oboril, R. Bishnoi, M. Ebrahimi and M.B. Tahoori Evaluation of Hybrid Memory Technologies using SOT-MRAM for On-Chip Cache Hierarchy in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2015. | |
L. Chen, M. Ebrahimi, M.B. Tahoori Formal Quantification of the Register Vulnerabilities to Soft Error in RTL Control Paths ournal of Electronic Testing: Theory and Applications (JETTA), 2015. | |
S. Kiamehr, M. Ebrahimi, F. Firouzi, and M.B. Tahoori Extending Standard Cell Library for Aging Mitigation in IET Computers & Digital Techniques, 2015. | |
M. Ottavi, S. Pontarelli, D. Gizopoulos, M.K. Michael, L. Anghel, M. Tahoori, A. Paschalis, P. Reviriego, O. Bringman, V. Izosimov, H. Manhaeve, C. Strydis, S. Hamdioui Dependable Multicore Architectures at Nanoscale: the view from Europe IEEE Design & Test, 2015. | |
M. Glaß, H. Aliee, L. Chen, M. Ebrahimi, F. Khosravi, V. Kleeberger, A. Listl, D. Müller-Gritchneder, F. Oboril, U. Schlichtmann, M.B. Tahoori, J. Teich, N. Wehn, C. Weis Application-aware cross-layer reliability analysis and optimization in it - Information Technology, 2015. |
Conferences | |
---|---|
A. Ahari, M. Ebrahimi, F. Oboril and M.B. Tahoori Improving Reliability, Performance, and Energy Efficiency of STT-MRAM with Dynamic Write Latency in International Conference on Computer Design (ICCD), USA, 2015. | |
M. Ebrahimi and M.B. Tahoori Stepped Parity: A Low-cost Multiple Bit Upset Detection Technique Proceedings of International Test Conference (ITC), USA, 2015. | |
M.B. Tahoori, A. Chatterjee, K. Chakrabarty, A. Koneru, A. Vijayan and D. Banerjee Self-awareness and self-learning for resiliency in real-time systems to appear in Proc. IEEE International Online Test Symposium (IOLTS), Greece, 2015. | |
A. Koneru, A. Vijayan, K. Chakrabarty, M.B. Tahoori Fine-Grained Aging Prediction Based on the Monitoring of Run-Time Stress Using DfT Infrastructure in Proc. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), USA, 2015. Invited paper | |
S. Wang, K, Chakrabarty, M.B. Tahoori Defect Clustering-Aware Spare-TSV Allocation for 3D ICs in Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD), USA, 2015. Best Paper Award | |
S. Wang, F. Firouzi, F. Oboril, M.B. Tahoori Deadspace-aware Power/Ground TSV Planning in 3D Floorplanning in Proceedings of International Conference on Integrated Circuit Design and Technology (ICICDT), Belgium, 2015. Invited Paper | |
M. Ebrahimi, N, Sayed, M. Rashvand, M.B. Tahoori Fault Injection Acceleration by Architectural Importance Sampling in proceedings of International Conference on Hardware-Software Codesign and System Synthesis (CODES+ISSS), Netherlands, 2015. | |
A. Ahari. M. Ebrahimi, M.B. Tahoori Energy Efficient Partitioning of Dynamic Reconfigurable MRAM-FPGAs Proceedings of the 25th International Conference on Field Programmable Logic and Applications (FPL), UK, 2015. | |
R. Aitken, E.H. Cannon, M. Pant, M.B. Tahoori Resiliency Challenges in sub-10nm Technologies in proceedings of VLSI Test Symposium (VTS), USA, 2015. | |
M.S. Golanbari, S. Kiamehr, M. Ebrahimi, M.B. Tahoori Aging Guardband Reduction through Selective Flip-Flop Optimization in proceedings of European Test Symposium (ETS), Romania, 2015. | |
A. Banaiyanmofrad, M. Ebrahimi, F. Oboril, M.B. Tahoori, N. Dutt Protecting Caches Against Multiple Bit Upsets Using Embedded Erasure Coding in proceedings of European Test Symposium (ETS), Romania, 2015. | |
L. Chen, M. Ebrahimi, M.B. Tahoori Reliability-aware Operation Chaining in High Level Synthesis in proceedings of European Test Symposium (ETS), Romania, 2015. | |
F. Firouzi, F. Ye, A. Vijayan, A. Koneru, K. Chakrabarty, M.B. Tahoori Re-using BIST for Circuit Aging Monitoring in proceedings of European Test Symposium (ETS), Romania, 2015. | |
M. S. Golanbari, S. Kiamehr, M. B. Tahoori, S. Nassif Analysis and Optimization of Flip-Flops Under Process and Runtime Variations in Proceedings of the International Symposium on Quality Electronic Design (ISQED), USA, 2015. | |
F. Oboril, J. Ewert, and M. B. Tahoori High-Resolution Online Power Monitoring for Modern Microprocessors in Proceedings of Design, Automation & Test in Europe (DATE), France, 2015. | |
R. Baranowski, F. Firouzi, S. Kiamehr, C.Liu, M. Tahoori, H. Wunderlich On-Line Prediction of NBTI-induced Aging Rates in Proceedings of Design, Automation & Test in Europe (DATE), France, 2015. | |
F. Oboril, M. Ebrahimi, S. Kiamehr, M.B. Tahoori Cross-Layer Resilient System Design Flow in Proceedings of theInternational Symposium on Circuits and Systems (ISCAS), Portugal, 2015. Invited Paper | |
S. Wang, F. Firouzi, F. Oboril, M.B. Tahoori Stress-aware P/G TSV Planning in 3D-ICs in Proceedings of the Asia and South Pacific Design Automation Conference (ASPDAC), Japan, 2015. | |
M. Ebrahimi, R. Seyyedi, L. Chen, M.B. Tahoori Event-driven Transient Error Propagation: A Scalable and Accurate Soft Error Rate Estimation Approach in Proceedings of the Asia and South Pacific Design Automation Conference (ASPDAC), Japan, 2015. | |
A. Gebregiorgis, M. Ebrahimi, S. Kiamehr, F. Oboril, S. Hamdioui, M.B. Tahoori Aging Mitigation in Memory Arrays Using Self-controlled Bit-flipping Technique in Proceedings of the Asia and South Pacific Design Automation Conference (ASPDAC), Japan, 2015. |
Workshop | |
---|---|
M. S. Golanbari, S. Kiamehr, M. B. Tahoori Resilient Flip-Flop Design under Process and Runtime Variations The 11th Workshop on Silicon Errors in Logic - System Effects (SELSE), USA, 2015. |
Year 2014
Journals | |
---|---|
F. Oboril and M.B. Tahoori Aging-Aware Design of Microprocessor Instruction Pipelines in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2014. | |
M. Beste and M. Tahoori Effect of the Active Layer on Carbon Nanotube-based cells for Yield Analysis in ACM Journal of Emerging Technologies (JETC), 2014. | |
A. Herkersdorf, H. Aliee, M. Engel, M. Glaß, C. Gimmler-Dumont, J. Henkel, V. B. Kleeberger, M. A. Kochte, J. M. Kühn, D. Mueller-Gritschneder, S. R. Nassif, H. Rauchfuss, W. Rosenstielf, U. Schlichtmann, M. Shafique, M. B. Tahoori, J. Teich, N. Wehn, C. Weis, H.-J. Wunderlich Resilience Articulation Point (RAP): Cross-layer Dependability Modeling for Nanometer System-on-chip Resilience in Elsevier Microelectronics Reliability Journal, 2014. | |
R. Wille, R. Drechsler, M.B. Tahoori Introduction to the Special Issue on Reversible Computation ACM Journal of Emerging Technologies (JETC), 11(2), 2014. | |
N. Dutt, and M.i Tahoori Introduction to Special Issue on Cross-layer Dependable Embedded Systems ACM Transactions on Embedded Computing Systems (TECS), 2014. |
Conferences | |
---|---|
R. Bishnoi, M. Ebrahimi, F. Oboril and M.B. Tahoori Read Disturb Fault Detection in STT-MRAM Proceedings of International Test Conference (ITC), USA, 2014. | |
Fangming YE, F. Firouzi, K. Chakrabarty, M. Tahoori Chip Health Monitoring Using Machine Learning Proceedings of Annual Symposium on VLSI (ISVLSI), USA, 2014. Invited Paper | |
A. Ahari, H. Asadi, and M. Tahoori Emerging Non-Volatile Memory Technologies for Future Low Power Reconfigurable System International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC), France, 2014. Invited Paper | |
F. Firouzi, , F. Ye, S. Kiamehr, K. Chakrabarty, and M. Tahoori Adaptive Mitigation of Parameter Variations In Asian Test Symposium (ATS), China, 2014. Invited Paper | |
A. Ahari, H. Asadi, B. Khaleghi, Z. Ebrahimi, and M. B. Tahoori Towards Dark Silicon Era in FPGAs Using Complementary Hard LogicDesign Proceedings of the 24th International Conference on Field Programmable Logic and Applications (FPL), Germany, 2014. | |
A. Amouri, F. Bruguier, S. Kiamehr, P. Benoit, L. Torres and M. Tahoori Aging Effects in FPGAs: an Experimental Analysis Proceedings of the 24th International Conference on Field Programmable Logic and Applications (FPL), Germany, 2014. | |
M. Beste, S. Kiamehr, and M.B. Tahoori Physical Design of CNTFET-based Circuits for Yield Improvement International New Circuits and Systems Conference (NEWCAS), Canada, 2014. Invited Paper | |
S. Kiamehr, T. Osiecki, M.B. Tahoori, Sani Nassif Radiation-Induced Soft Error Analysis of SRAMs in SOI FinFET Technology: A Device to Circuit Approach in Proceedings of Design Automation Conference (DAC), USA, 2014. | |
P.M.B. Rao, M. Ebrahimi, R. Seyyedi, M. Tahoori Protecting SRAM-based FPGAs Against Multiple Bit Upsets Using Erasure Codes Proceedings of Design Automation Conference (DAC), USA, 2014. | |
L. Chen, M. Tahoori Reliability-aware Register Binding for Control-Flow Intensive Designs Proceedings of Design Automation Conference (DAC), USA, 2014. | |
L. Chen, M. Ebrahimi, M. Tahoori Quantitative Evaluation of Register Vulnerabilities in RTL Control Paths Proceedings of the European Test Symposium (ETS), Germany., 2014. | |
A. Amouri, J. Hepp, M. Tahoori Self-Heating Thermal-Aware Testing of FPGAs Proceedings of the 32nd IEEE VLSI Test Symposium (VTS), Napa, California, USA, 2014. | |
Fangming YE, F. Firouzi, Y. Yang, K. Chakrabarty, M. Tahoori On-Chip Voltage-Droop Prediction Using Support-Vector Machines Proceedings of the 32nd IEEE VLSI Test Symposium (VTS), Napa, California, USA, 2014. | |
R. Bishnoi, F. Oboril, M. Ebrahimi, and M. Tahoori Avoiding Unnecessary Write Operations in STT-MRAM for Low Power Implementation in Proceedings of the International Symposium on Quality Electronic Design (ISQED), USA, 2014. | |
M. Ebrahimi, A. Evans, M. Tahoori, R. Seyyedi, E. Costenaro and D. Alexandresc Comprehensive Analysis of Alpha and Neutron Particle-induced Soft Errors in an Embedded Processor at Nanoscales in Proceedings of Design, Automation & Test in Europe (DATE), Germany, 2014. Best Paper Candidate | |
S. Wang, F. Firouzi, F. Oboril, M. Tahoori P/G TSV Planning for IR-drop Reduction in 3D-ICs in Proceedings of Design, Automation & Test in Europe (DATE), Germany, 2014. | |
R. Bishnoi, M. Ebrahimi, F. Oboril and M.B. Tahoori Asynchronous Asymmetrical Write Termination (AAWT) for a Low Power STT-MRAM in Proceedings of Design, Automation & Test in Europe (DATE), Germany, 2014. | |
A. Ahari, H. Asadi, B. Khaleghi, M. Tahoori A Power-Efficient Reconfigurable Architecture Using PCM Configuration Technology in Proceedings of Design, Automation & Test in Europe (DATE), Germany, 2014. | |
S. Kiamehr, F. Firouzi, M. Ebrahimi, M. Tahoori Aging-aware Standard Cell Library Design in Proceedings of Design, Automation & Test in Europe (DATE), Germany, 2014. | |
R. Bishnoi, M. Ebrahimi, F. Oboril and M. Tahoori Architectural Aspects in Design and Analysis of SOT-based Memories in proceedings of the Asia and South Pacific Design Automation Conference (ASPDAC), Singapore, 2014. Invited Paper | |
F. Oboril, M. Tahoori ArISE: Aging-aware Instruction Set Encoding for Lifetime Improvement in proceedings of the Asia and South Pacific Design Automation Conference (ASPDAC), Singapore, 2014. | |
M. Beste, S. Kiamehr, M. Tahoori Layout–aware Delay Variation Optimization for CNTFET–based Circuits in proceedings of VLSI Design Conference (VLSID), India, 2014. |
Workshop | |
---|---|
S. Kiamehr, M.B. Tahoori A Cross-Layer Approach for Soft Error Analysis of SRAMs in SOI FinFET Technology The 10th Workshop on Silicon Errors in Logic – System Effects (SELSE), USA, 2014. | |
P.M.B. Rao, A. Amouri, M. Tahoori Generation of Equivalent Configurations for Defect Tolerance and Yield Improvement of FPGAs Third Workshop on Manufacturable and Dependable Multicore Architectures at Nanoscale (MEDIAN'14), Dresden, Germany, 2014. |
Year 2013
Journals | |
---|---|
F. Oboril, F. Firouzi, S. Kiamehr, and M. Tahoori Negative Bias Temperature Instability-Aware Instruction Scheduling: A Cross-Layer Approach Journal of Low Power Electronics. 9, 2013. | |
L. Chen, M. Ebrahimi and M. Tahoori, CEP: Correlated Error Propagation for Hierarchical Soft Error Analysis Journal of Electronic Testing: Theory and Applications (JETTA), 2013. | |
F. Firouzi, S. Kiamehr, M. Tahoori Power-aware Minimum NBTI Vector Selection using a Linear Programming Approach in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2013. |
Conferences | |
---|---|
A. Amouri and M. Tahoori Degradation in FPGAs: Modeling, Monitoring and Mitigation Proceedings of the IEEE International Conference on Field Programmable Logic and Applications (FPL), Porto, Portugal, 2013. PhD forum paper | |
M. Ebrahimi, F. Oboril, S. Kiamehr, M. Tahoori Aging-aware Logic Synthesis in proceedings of the International conference on Computer-Aided Design (ICCAD), USA, 2013. | |
P. M. B. Rao, A. Amouri, S. Kiamehr, M. Tahoori Altering LUT Configuration for Wear-out Mitigation of FPGA-Mapped Designs Proceedings of the IEEE International Conference on Field Programmable Logic and Applications (FPL), Porto, Portugal, 2013. | |
F. Oboril, I. Sagar, M. Tahoori A-SOFT-AES: Self-Adaptive Software-Implemented Fault-Tolerance for AES Proceedings of the IEEE International On-Line Testing Symposium (IOLTS), Greece, 2013. | |
F. Firouzi, M.B. Tahoori, F. Ye, K. Chakrabarty Representative Critical-Path Selection for Aging-induced Delay Monitoring Proceedings of International Test Conference (ITC), USA, 2013. | |
A. Amouri, H. Amrouch, T. Ebi, J. Henkel, M. Tahoori Accurate Thermal-Profile Estimation and Validation for FPGA-Mapped Circuits Proceedings of the 21st IEEE International Symposium on Field-Programmable Custom Computing Machines (FCCM), Seattle, Washington, USA, April 28-30, 2013. Received a 'European Network of Excellence on High Performance and Embedded Architecture and Compilation' (HiPEAC) Paper Award | |
M. Ebrahimi, H. Asadi, M. Tahoori A Layout-based Approach for Multiple Event Transient Analysis in proceedings of 50th Design Automation Conference (DAC), USA, 2013. | |
J. Henkel, L. Bauer, N. Dutt, P. Gupta, S. Nassif, M. Shafique, M. Tahoori, N. Wehn Reliable On-Chip Systems in the Nano-Era: Lessons Learnt and Future Trends in proceedings of 50th Design Automation Conference (DAC), USA, 2013. | |
S. Kiamehr, F. Firouzi, M. Tahoori A Layout-aware X-Filling Approach for Dynamic Power Supply Noise Reduction in At-Speed Scan Testing in Proceedings of European Test Symposium (ETS), France, 2013. | |
S. Kiamehr, M. Ebrahimi, F. Firouzi, M. Tahoori Chip-level Modeling and Analysis of Electrical Masking of Soft Errors in proceedings of 31st VLSI Test Symposium (VTS), USA, 2013. | |
M. Ebrahimi, L. Chen, H. Asadi, M. Tahoori CLASS: Combined Logic and Architectural Soft Error Sensitivity Analysis in Proceedings of Asia and South Pacific Design Automation Conference (ASPDAC), Japan, 2013. | |
F. Firouzi, S. Kiamehr, M. Tahoori Statistical Analysis of BTI in the Presence of Process-induced Voltage and Temperature Variations in Proceedings of Asia and South Pacific Design Automation Conference (ASPDAC), Japan, 2013. | |
S. Kiamehr, F. Firouzi, M. Tahoori Aging-aware Timing Analysis Considering Combined Effects of NBTI and PBTI in Proceedings of International Symposium on Quality Electronic Desing (ISQED), USA, 2013. | |
Y. Hara-Azumi, F. Firouzi, S. Kiamehr, M. Tahoori Instruction-Set Extension under Process Variation and Aging Effects n Proceedings of Design, Automation & Test in Europe (DATE), France, 2013. | |
F. Firouzi, S. Kiamehr, M. Tahoori, S. Nassif Incorporating the Impacts of Workload-Dependent Runtime Variations into Timing Analysis in Proceedings of Design, Automation & Test in Europe (DATE), France, 2013. | |
F. Oboril, M. Tahoori MTTF-Balanced Pipeline Design in Proceedings of Design, Automation & Test in Europe (DATE), France, 2013. Best Paper Candidate |
Books / Book Chapter | |
---|---|
A. Amouri, M. Tahoori Lifetime Reliability Sensing in Modern FPGAs In P. Athanas, D. Pnevmatikatos, N. Sklavos, editors, “Embedded Systems Design with FPGAs”, Springer, 2013. ISBN 978-1-4614-1361-5 |
Workshop | |
---|---|
E. Costenaro, A. Evans, D. Alexandrescu, L. Chen, M. Tahoori and M. Nicolaidis owards a Hierarchical and Scalable Approach for Modeling the Effects of SETs The 9th Workshop on Silicon Errors in Logic – System Effects (SELSE), USA, 2013. | |
A. Herkersdorf, M. Engel, M. Glass, J. Henkel, V.B. Kleeberger, M.A. Kochte, J.M. Kuhn, S.R. Nassif, H. Rauchfuss, W. Rosenstiel, U. Schlichtmann, M. Shafique, M.B. Tahoori, J. Teich, N. Wehn, C. Weis, H. Wunderlich Cross-layer Dependability Modeling and Abstraction in System on Chip The 9th Workshop on Silicon Errors in Logic – System Effects (SELSE), USA, 2013. | |
M, Beste, M. Tahoori Effect of the Active Layer on Carbon Nanotube-based Cell Designs proceedings of Workshop on Design and Test Methodologies for Emerging Technologies (DETMET), France, 2013. |
Year 2012
Journals | |
---|---|
F. Oboril, M. Tahoori ExtraTime: Eine Mikroarchitektur-Simulationsumgebung zur Modellierung, Analyse und Linderung von Alterungseffekten 11/2012 GMM Mechatronik, 2012. invited paper | |
M. Zamani, H. Mirzaei, M. Tahoori ILP Formulations for Variation/Defect Tolerant Logic Mapping on Crossbar Nano-Architectures in ACM Journal of Emerging Technologies in Computing Systems (JETC), 2012. | |
B. Ghavami, M. Raji, H. Pedram, M. Tahoori Design and Analysis of a Robust Carbon Nanotube-based Asynchronous Primitive Circuit in ACM Journal of Emerging Technologies in Computing Systems (JETC), 2012. | |
H. Asadi, M. Tahoori, M. Fazeli, S.G. Miremadi Efficient algorithms to accurately compute derating factors of digital circuits Elsevier Microelectronics Reliability, 2012. |
Conferences | |
---|---|
A. Amouri, S. Kiamehr, M. Tahoori Investigation of Aging Effects in Different Implementations and Structures of Programmable Routing Resources of FPGAs Proceedings of the International Conference of Field-Programmable Technology (FPT), Seoul, Soth Korea, 2012. | |
F. Oboril, F. Firouzi, S. Kiamehr, M. Tahoori Reducing NBTI-induced Processor Wearout by Exploiting the Timing Slack of Instructions Proceedings of CODES+ISSS, Tampere, Finland, 2012. | |
U. D. Bordoloi, B. Tanasa, M. Tahoori, P. Eles, Z. Peng, S. Z. Shazli and S. Chakraborty Reliability-Aware Instruction Set Customization for ASIPs with Hardened Logic Proceedings of the 18th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA), Seoul, Korea, 2012. | |
A. Amouri, M. Tahoori High-Level Aging Estimation For FPGA-Mapped Designs Proceedings of the IEEE International Conference on Field Programmable Logic and Applications (FPL), Oslo, Norway, 2012. | |
L. Chen, M. Tahoori An Efficient Probability Framework for Error Propagation and Correlation Estimation 18th IEEE International On-Line Testing Symposium (IOLTS), Sitges, Spain, 2012. | |
S. Shazli and M. Tahoori Online Detection And Recovery Of Transient Errors In Front-End Structures Of Microprocessors proceedings of the IEEE European Test Symposium (ETS), Annecy, France, 2012. | |
F. Oboril and M. Tahoori ExtraTime: Modeling and Analysis of Wearout due to Transistor Aging at Microarchitecture-Level proceedings of the international conference on Dependable Systems and Networks (DSN), Boston, USA, 2012. | |
S. Kiamehr, F. Firouzi, and M. Tahoori Input and Transistor Reordering for NBTI and HCI Reduction in Complex CMOS Gates Proceedings of the 22nd GLVLSI, Salt Lake City, Utah, USA, 2012. | |
M. Zamani, M. Tahoori Reliable Logic Mapping on Nano-PLA Architectures Proceedings of the 22nd GLVLSI, Salt Lake City, Utah, USA, 2012. | |
F. Oboril and M. Tahoori Reducing Wearout in Embedded Processors using Proactive Fine-Grain Dynamic Runtime Adaptation proceedings of the IEEE European Test Symposium (ETS), Annecy, France, 2012. | |
M. Zamani, M. Tahoori, K. Chakrabarty Ping-Pong Test: Compact Test Vector Generation for Reversible Circuits 30th IEEE VLSI Test Symposium (VTS), Hyatt Maui, Hawaii, USA, 2012. | |
F. Firouzi, S. Kiamehr, and M. Tahoori NBTI Mitigation by Optimized NOP Assignment and Insertion DATE, 2012. | |
M. Beste, M. Tahoori Layout-Driven Robustness Analysis for Misaligned Carbon Nanotubes in CNTFET-based Standard Cells DATE, Dresden, 2012. |
Workshop | |
---|---|
L. Chen, M. Tahoori Soft Error Propagation and Correlation Estimation in Combinational Network The First Workshop on Manufacturable and Dependable Multicore Architectures at Nanoscale (MEDIAN), Annecy, France, 2012. | |
F. Oboril, F. Firouzi, S. Kiamehr, M. Tahoori Impact of Instruction Delay on Processor Wearout proceedings of the Workshop on Processor Verification, Test and Debug (IWPVTD), , Annecy, France, 2012. |
Year 2011
Journals | |
---|---|
M. Tahoori Variation and defect tolerance for diode-based nano crossbars Elsevier Nano Communication Networks Journal, 2011. |
Conferences | |
---|---|
J. Henkel, L. Bauer, J. Becker, O. Bringmann, U. Brinkschulte, S. Chakraborty, M. Engel, R. Ernst, H. Härtig, L. Hedrich, A. Herkersdorf, R. Kapitza, D. Lohmann, P. Marwedel, M. Platzner, W. Rosenstiel, U. Schlichtmann, O. Spinczyk, M. Tahoori, J. Teich, N. Wehn, H. J. Wunderlich Design and architectures for dependable embedded systems proceedings of CODES+ISSS, 2011. | |
S. Kiamehr, A. Amouri, and M. Tahoori Investigation of NBTI and PBTI Induced Aging in Different LUT Implementations proceedings of the IEEE international conference on Field Programmable Technology (FPT), 2011. | |
F. Oboril, M. Tahoori, V. Heuveline, D. Lukarski, and J. P. Weiss Numerical Defect Correction as an Algorithm-Based Fault Tolerance Technique for Iterative Solvers proceedings of the 17th IEEE Pacific Rim International Symposium on Dependable Computing (PRDC), 2011. | |
M. Zamani, and M. Tahoori Online Missing/Repeating Gate Faults Detection in Reversible Circuits proceedings of IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (DFTS), 2011. | |
F. Firouzi, S. Kiamehr, and M. Tahoori Modeling and Estimation of Power Supply Noise using Linear Programming proceedings of IEEE/ACM International Conference on Computer Aided Design (ICCAD), 2011. | |
M. Fazeli, S. G. Miremadi, H. Asadi, M. Tahoori Soft Error Rate Estimation of Digital Circuits in the Presence of Multiple Event Transients (METs) Design Automation and Test in Europe (DATE), 2011. | |
S. Kiamehr, F. Firouzi, and M. Tahoori Stacking-based Input Reordering for NBTI Aging Reduction Proceedings of Zuverlässigkeit und Entwurf (ZuE), 2011. | |
F. Oboril, M. Tahoori ExtraTime: A Framework for Exploration of Clock and Power Gating for BTI and HCI Aging Mitigation Proceedings of Zuverlässigkeit und Entwurf (ZuE), 2011. Best Paper Award | |
L. Chen, F. Firouzi, S. Kiamehr, M. Tahoori Fast and Accurate Soft Error Rate Estimation at RTL level Proceedings of Zuverlässigkeit und Entwurf (ZuE), 2011. | |
A. Amouri, M. Tahoori A Low-Cost Sensor for Aging and Late Transitions Detection in Modern FPGAs Proceedings of the IEEE International Conference on Field Programmable Logic and Applications (FPL), 2011. | |
M. Zamani, M. Tahoori Self-Timed Nano-PLA In IEEE/ACM International Symbosium on Nanoscale Architectures (NANOARCH), 2011. | |
M. Zamani, N. Farazmand, M. Tahoori Fault Masking and Diagnosis in Reversible Circuits In European Test Symposium (ETS), 2011. | |
M. Zamani, M. Tahoori Variation-Immune QDI Implementation on Nano-Crossbar Arrays In ACM Great Lakes Symposium on VLSI (GLSVLSI), 2011. | |
F. Firouzi, S. Kiamehr, M. Tahoori A Linear Programming Approach for Minimum NBTI Vector Selection In ACM Great Lakes Symposium on VLSI (GLSVLSI), 2011. | |
M. Zamani, M. Tahoori Variation-aware Logic Mapping for Crossbar Nano-architectures In IEEE 16th Asia and South Pacific Design Automation Conference (ASP-DAC), 2011. |
Workshop | |
---|---|
M. Zamani, M. Tahoori Variation Tolerance for Nano-PLA Architectures In North Atlantic Test Workshop (NATW), 2011. |
Year 2010
Journals | |
---|---|
M. Tahoori High Resolution Application Specific Fault Diagnosis of FPGAs IEEE Transactions on VLSI (TVLSI), 2010. | |
H. Asadi, M. Tahoori Soft Error Modeling and Remediation Techniques in ASIC Designs Elsevier Microelectronics Journal, 2010. |
Conferences | |
---|---|
N. Farazmand, M. Zamani, M. Tahoori Online fault testing of reversible logic using dual rail coding n International Online Testing Symposium (IOLTS), 2010. | |
M. Fazeli, S. G. Miremadi, H. Asadi, M. Tahoori A Fast Analytical Approach to Multi-Cycle Soft Error Rate Estimation of Sequential Circuits Euromicro Conference on Digital System Design (DSD), 2010. | |
M. Zamani, N. Farazmand, M. Tahoori Online Multiple Fault Detection in Reversible Circuits In International Symposium on Defect and Fault Tolerance of VLSI (DFTS), 2010. | |
M. Abdul-aziz, M. Tahoori Soft Error Reliability Aware Placement and Routing for FPGAs International Test Conference (ITC), 2010. | |
N. Farazmand, M. Tahoori Multiple Fault Diagnosis in Crossbar Nano-architectures In European Test Symposium (ETS), 2010. | |
M. Zamani, M. Tahoori A Transient Error Tolerant Self-Timed Asynchronous Architecture In European Test Symposium (ETS), 2010. | |
C. Tunc, M. Tahoori On-the-fly Variation Tolerant Mapping in Crossbar Nano-Architectures In IEEE VLSI Test Symposium (VTS), 2010. | |
C. Tunc, M. Tahoori Variation Tolerant Logic Mapping for Crossbar Array Nano Architectures in IEEE Asian South Pasific Design Automation Conference (ASP-DAC), 2010. best paper nomination |
Year 2009
Journals | |
---|---|
M. Tahoori, H. Asadi, B. Mullins, D. Kaeli Obtaining FPGA Soft Error Rate in High Performance Information Systems in Elsevier Journal of Microelectronics Reliability (Volume 49, Issue 5), May 2009. | |
M. Tahoori, S. Shazli Using Boolean Satisfiability for Computing Soft Error Rates in Early Design Stages in Elsevier Journal of Microelectronics Reliability, 2009. | |
M. Tahoori Low Overhead Defect Tolerance in Crossbar Nano-architectures in ACM Journal of Emerging Technologies in Computing (JETC; Volume 5, Issue 2), 2009. |
Conferences | |
---|---|
N. Farazmand, M. Tahoori Online Multiple Error Detection in Crossbar Nano-architectures in IEEE International Conference on Computer Design (ICCD), 2009. | |
A. Abdi, M. Tahoori, E. Emamian Identification of Critical Molecules Via Fault Diagnosis Engineering in International Conference of IEEE Engineering in Medicine and Biology Society (EMBC), 2009. | |
S. Shazli, M. Tahoori Soft error rate computation in early design stages using boolean satisfiability in ACM Great Lakes Symposium on VLSI (GLSVLSI), 2009. | |
M. Tahoori BISM: built-in self map for hybrid crossbar nano-architectures in ACM Great Lakes Symposium on VLSI (GLSVLSI)i, 2009. | |
N. Farazmand, M. Tahoori Online Detection of Multiple Faults in Crossbar Nano-architectures Using Dual Rail Implementations in IEEE International Symposium on Design and Test of Defect-Tolerant Nanoscale Architectures (NANOARCH), 2009. | |
S. Shazli, M. Tahoori Transient Error Detection and Recovery in Processor Pipelines in 24th IEEE International Symposium on Defect and Fault Tolerant in VLSI Systems (DFT), 2009. |
Workshop | |
---|---|
S. Shazli, M. Tahoori Modeling Availability and Performability in High Performance Information Systems in North Atlantic Test Workshop (NATW), 2009. |